掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Test Conference
International Test Conference
召开年:
2019
召开地:
Washington(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
3426
条结果
1.
Testing in a high volume DSM environment
机译:
在高批量DSM环境中进行测试
作者:
Storey T.
会议名称:
《International Test Conference》
|
2004年
关键词:
built-in self test;
design for manufacture;
automatic test equipment;
integrated circuit testing;
deep sub micron environment;
deep sub micron design;
design for manufacturability;
testing;
ATE;
at-speed BIST techniques;
2.
Investment vs. yield relationship for memories and IP in SoC
机译:
对SoC中的记忆与知识产权的投资与屈服关系
作者:
Reynick J.A.
会议名称:
《International Test Conference》
|
2004年
关键词:
system-on-chip;
integrated circuit yield;
integrated memory circuits;
redundancy;
integrated logic circuits;
investment;
integrated circuit design;
investment;
yield relationship;
SoC designs;
IP outsourcing;
IC yield;
memory repair;
memory redundancy;
memory defect density;
higher memory percentage;
ECC methods;
standard logic;
digital circuits;
3.
Practical Instrumentation Integration Considerations
机译:
实用仪表集成考虑因素
作者:
Thomas J. Anderson
会议名称:
《International Test Conference》
|
2004年
4.
Practical instrumentation integration considerations
机译:
实用仪表集成考虑因素
作者:
Anderson T.J.
会议名称:
《International Test Conference》
|
2004年
关键词:
automatic test equipment;
built-in self test;
boundary scan testing;
integrated circuit testing;
instrumentation integration;
BIST;
SCAN;
test time minimization;
cost minimization;
AC parametric test;
DC parametric test;
signal integrity problems;
ATE;
capacitive loading;
resistive loading;
bandwidth;
phase matching;
calibration;
thermal drift;
trouble free integration;
5.
Programmable At-Speed Array and Functional BIST for Embedded DRAM LSI
机译:
可编程的AT-SCEED阵列和嵌入式DRAM LSI的功能BIST
作者:
Masaji Kume
;
Katsutoshi Uehara
;
Minoru Itakura
;
Hideo Sawamoto
会议名称:
《International Test Conference》
|
2004年
6.
MINIMUM TESTING REQUIREMENTS TO SCREEN TEMPERATURE DEPENDENT DEFECTS
机译:
筛选温度依赖性缺陷的最低测试要求
作者:
C. Schuermyer
;
J. Ruffler
;
R. Daasch
;
R. Madge
会议名称:
《International Test Conference》
|
2004年
7.
Use of Embedded Sensors for Built-in-Test of RF Circuits
机译:
使用嵌入式传感器进行RF电路的内置测试
作者:
Soumendu Bhattacharya
;
Abhijit Chatterjee
会议名称:
《International Test Conference》
|
2004年
8.
Extending STEL 1450 Standard for Test Program Flow
机译:
用于测试程序流程的STEL 1450标准
作者:
David Dowding
;
Ernie Wahl
;
Don Organ
会议名称:
《International Test Conference》
|
2004年
9.
Use of embedded sensors for built-in-test RF circuits
机译:
使用嵌入式传感器用于内置测试RF电路
作者:
Bhattacharya S.
;
Chatterjee A.
会议名称:
《International Test Conference》
|
2004年
关键词:
microwave integrated circuits;
integrated circuit testing;
embedded sensors;
built-in-test RF circuits;
on-chip RF circuits;
microwave circuits;
circuit integration;
RF device-under-test;
manufacturing test;
DC signals;
target test specification;
sinusoidal stimulus;
10.
A model-based test approach for testing high speed PLLs and phase regulation circuitry in SOC devices
机译:
基于模型的SOC设备测试高速PLL和相位调节电路的测试方法
作者:
Laquai B.
会议名称:
《International Test Conference》
|
2004年
关键词:
system-on-chip;
digital phase locked loops;
high-speed integrated circuits;
integrated circuit testing;
integrated circuit modelling;
integrated circuit design;
automatic test equipment;
clocks;
jitter;
fault diagnosis;
frequency-domain analysis;
model based test method;
high speed PLL;
phase locked loops;
phase regulation circuitry;
SOC devices;
gigahertz clocks on chip;
data signals;
high speed IO links;
fault coverage;
jitter performance;
specification oriented test methods;
ATE;
frequency domain model;
design phase regulation characteristics;
leading edge measurement equipment;
BERT;
parametric defects;
11.
Controlled sine wave fitting for ADC test
机译:
用于ADC测试的控制正弦波拟合
作者:
Mattes H.
;
Sattler S.
;
Dworski C.
会议名称:
《International Test Conference》
|
2004年
关键词:
analogue-digital conversion;
integrated circuit testing;
mixed analogue-digital integrated circuits;
built-in self test;
hardware description languages;
field programmable gate arrays;
circuit complexity;
circuit simulation;
fast Fourier transforms;
controlled sine wave fitting;
ADC test response;
analog to digital converters test;
dynamic parameters;
time domain;
built-in self-test;
BIST;
mixed signal circuits;
circuit complexity;
VHDL code;
field programmable gate array;
FPGA;
Teradyne J750 tester;
circuit simulation;
FFT based methods;
test time reduction;
12.
Defect coverage analysis of partitioned testing
机译:
分区测试的缺陷覆盖分析
作者:
Chakravarty S.
;
Savage E.W.
;
Tran E.N.
会议名称:
《International Test Conference》
|
2004年
关键词:
automatic test pattern generation;
fault diagnosis;
integrated circuit testing;
defect coverage analysis;
test quality improvement;
fault models;
coverage metrics;
test pattern generation;
product quality;
quiescent state;
test sequence generation;
functional pattern quality;
functional testing;
13.
LOW OVERHEAD DELAY TESTING OF ASICS
机译:
asics的低开销延迟测试
作者:
Pamela Gillis
;
Kevin McCauley
;
Francis Woytowich
;
Andrew Ferko
会议名称:
《International Test Conference》
|
2004年
14.
Risks associated with faults within test pattern compactors and their implications on testing
机译:
与测试模式压实机中的故障相关的风险及其对测试的影响
作者:
Metra C.
;
Mak T.M.
;
Omana M.
会议名称:
《International Test Conference》
|
2004年
关键词:
integrated circuit testing;
design for testability;
risk analysis;
risk analysis;
test pattern compactors;
DFT structures;
compactors internal faults;
product quality;
fault secure property;
faulty products;
15.
On-Chip Mixed-Signal Test Structures Re-Used For Board Test
机译:
片上混合信号测试结构重新用于板测试
作者:
R. Schuttert
;
D. C. L. van Geest
;
A. Kumar
会议名称:
《International Test Conference》
|
2004年
16.
Improving encoding efficiency for linear decompressors using scan inversion
机译:
使用扫描反转提高线性减压器的编码效率
作者:
Balakrishnan K.J.
;
Touba N.A.
会议名称:
《International Test Conference》
|
2004年
关键词:
encoding;
combinational circuits;
sequential circuits;
linear algebra;
Boolean algebra;
matrix algebra;
encoding efficiency;
linear decompressors;
scan inversion;
linear transformation;
Boolean matrix;
linear algebra;
combinational decompressor;
sequential decompressor;
test cubes;
17.
Performance characterization of mixed-signal circuits using a ternary signal representation
机译:
使用三元信号表示的混合信号电路性能表征
作者:
Hak-Soo Yu
;
Shin H.
;
Chun J.H.
;
Abraham J.A.
会议名称:
《International Test Conference》
|
2004年
关键词:
mixed analogue-digital integrated circuits;
signal representation;
integrated circuit testing;
analogue-digital conversion;
digital-analogue conversion;
built-in self test;
mixed signal circuit testing;
ternary signal representation;
signature characterization;
DUT;
device under test;
analog circuit testing;
lossy compression;
built-in circuits;
dynamic performance parameters;
SNR;
THD;
deltasigma DAC;
deltasigma ADC;
18.
Minimum testing requirements to screen temperature dependent defects
机译:
筛选温度依赖性缺陷的最低测试要求
作者:
Schuermyer C.
;
Ruffler J.
;
Daasch R.
;
Madge R.
会议名称:
《International Test Conference》
|
2004年
关键词:
integrated circuit testing;
crystal defects;
minimum testing requirements;
temperature defendent defects;
defect screening;
temperature dependent outliers;
multiple temperature testing;
die trace;
wafer sort;
0.18 micron;
30 degC;
85 degC;
19.
On-chip mixed-signal test structures re-used for board test
机译:
片上混合信号测试结构重新用于板测试
作者:
Schuttert R.
;
van Geest D.C.L.
会议名称:
《International Test Conference》
|
2004年
关键词:
boundary scan testing;
mass production;
design for testability;
mixed analogue-digital integrated circuits;
printed circuit testing;
integrated circuit interconnections;
IEEE standards;
on-chip mixed signal test structures;
analogue clusters;
mass production;
functional system tests;
boundary scan testing;
digital interconnections;
analogue interconnections;
IEEE Std 1149.4;
design for testability;
on-chip mixed signal blocks;
reduction board test;
20.
Jitter generation and measurement for test of multi-Gbps serial IO
机译:
多Gbps串行IO测试的抖动产生和测量
作者:
Tabatabaei S.
;
Lee M.
;
Ben-Zeev F.
会议名称:
《International Test Conference》
|
2004年
关键词:
jitter;
telecommunication links;
data communication;
telecommunication equipment testing;
error statistics;
oscilloscopes;
data dependent jitter generation method;
jitter measurement methodology;
multiGbps serial IO;
serial communication links;
chip to chip application;
system-to-system application;
BER testing techniques;
deterministic jitter;
data dependent jitter injection filters;
continuous time interval analyzer;
real time sampling oscilloscopes;
21.
Trends in manufacturing test methods and their implications
机译:
制造测试方法及其影响的趋势
作者:
Kundu S.
;
Mak T.M.
;
Galivanche R.
会议名称:
《International Test Conference》
|
2004年
关键词:
design for testability;
system-on-chip;
microprocessor chips;
manufacturing test methods;
product quality;
recurring cost;
nonrecurring cost;
time to market;
DFT requirements;
tester requirements;
semiconductors chips;
volatile memory;
nonvolatile memory;
PLD;
FPGA;
ASIC;
SOC;
MEM;
processors;
22.
Extending the digital core-based test methodology to support mixed-signal
机译:
扩展基于数字核心的测试方法来支持混合信号
作者:
Seuren G.
;
Waayers T.
会议名称:
《International Test Conference》
|
2004年
关键词:
mixed analogue-digital integrated circuits;
system-on-chip;
integrated circuit testing;
design for testability;
integrated circuit design;
digital core based test architecture;
mixed signal cores testing;
system-on-chip;
test library;
DFT;
23.
A Model-Based Test Approach for Testing High Speed PLLs and Phase Regulation Circuitry in SOC Devices
机译:
基于模型的SOC设备测试高速PLL和相位调节电路的测试方法
作者:
Berad Laquai
会议名称:
《International Test Conference》
|
2004年
24.
ATE Data Collection - A comprehensive requirements proposal to maximize ROI of test
机译:
ATE数据收集 - 全面要求最大化测试投资回报率的提案
作者:
Manu Rehani
;
David Abercrombie
;
Robert Madge
;
Jim Teisher
;
Jason Saw
会议名称:
《International Test Conference》
|
2004年
25.
Analysis of delay caused by bridging faults in RLC interconnects
机译:
RLC互连桥接故障引起的延迟分析
作者:
Quming Zhou
;
Kartik Mohanram
会议名称:
《International Test Conference》
|
2004年
26.
Low overhead delay testing of ASICs
机译:
asics的低开销延迟测试
作者:
Gillis P.
;
McCauley K.
;
Woytowich F.
;
Ferko A.
会议名称:
《International Test Conference》
|
2004年
关键词:
application specific integrated circuits;
integrated circuit testing;
integrated circuit design;
fault diagnosis;
electronic engineering computing;
logic testing;
delay circuits;
automatic test pattern generation;
low overhead delay testing;
IBM ASIC;
chip geometries shrink;
cost effective delay test methodology;
burdened IC design;
transition fault coverage;
ASIC business;
design automation software;
stuck-at fault baseline;
random spot timing delay defects;
27.
How to bridge the gap between simulation and test
机译:
如何弥合仿真与测试之间的差距
作者:
Zambaldi M.
;
Ecker W.
会议名称:
《International Test Conference》
|
2004年
关键词:
integrated circuit testing;
automatic test pattern generation;
hardware description languages;
integrated circuit modelling;
tester related simulation environment;
transforming simulation element;
interface pattern;
test programs;
e-beam analysis;
synchronous communication;
asynchronous communication;
unit under verification;
hardware description language;
28.
Efficient pattern mapping for deterministic logic BIST
机译:
确定性逻辑BIST的高效模式映射
作者:
Gherman V.
;
Wunderlich H.-J.
;
Vranken H.
;
Hapke F.
;
Wittke M.
;
Garbers M.
会议名称:
《International Test Conference》
|
2004年
关键词:
built-in self test;
logic testing;
integrated circuit testing;
binary decision diagrams;
circuit complexity;
efficient pattern mapping;
deterministic logic BIST;
deterministic external testing;
pseudorandom logic BIST;
linear complexity;
memory consumption;
BDD;
binary decision diagrams;
29.
K Longest Paths Per Gate (KLPG) Test Generation for Scan-Based Sequential Circuits
机译:
K基于扫描的连续电路的K个最长路径(KLPG)测试生成
作者:
Wangqi Qiu
;
Jing Wang
;
D. M. H. Walker
;
Divya Reddy
;
Xiang Lu
;
Zhuo Li
;
Weiping Shi
;
Hari Balachandran
会议名称:
《International Test Conference》
|
2004年
30.
On-line testing field programmable analog array circuits
机译:
在线测试现场可编程模拟阵列电路
作者:
Haibo Wang
;
Kulkarni S.
;
Tragoudas S.
会议名称:
《International Test Conference》
|
2004年
关键词:
field programmable analogue arrays;
integrated circuit testing;
analogue integrated circuits;
circuit stability;
active networks;
online testing;
FPAA circuit under test;
field programmable analog array circuits;
programmable resources;
flexible testing schedules;
circuit stability;
partitioned circuits;
circuit testability;
active networks;
31.
Trends in manufacturing test methods and their implications
机译:
制造测试方法及其影响的趋势
作者:
Sandip Kundu
;
T. M. Mak
;
Rajesh Galivanche
会议名称:
《International Test Conference》
|
2004年
32.
A modular wrapper enabling high speed BIST and repair for small wide memories
机译:
一个模块化包装器,可实现高速BIST和用于小宽忆的修复
作者:
Aitken R.C.
会议名称:
《International Test Conference》
|
2004年
关键词:
built-in self test;
integrated circuit testing;
high-speed integrated circuits;
integrated circuit design;
integrated memory circuits;
logic testing;
modular wrapper design;
high speed BIST controller;
high speed BISR;
small wide memories;
register files;
FIFO;
high speed applications;
wrapper at-speed test;
test controller;
integrated circuit testing;
logic testing;
33.
On-Chip Impulse Response Generation for Analog and Mixed-Signal Testing
机译:
模拟和混合信号测试的片上脉冲响应生成
作者:
Abhishek Singh
;
Chintan Patel
;
Jim Plusquellic
会议名称:
《International Test Conference》
|
2004年
34.
Elimination of Traditional Functional Testing of Interface Timings at Intel
机译:
取消英特尔界面定时的传统功能性测试
作者:
Mike Tripp
;
T. M. Mak
;
Anne Meixner
会议名称:
《International Test Conference》
|
2004年
35.
Test Strategy Cost Model Innovations
机译:
测试策略成本模型创新
作者:
Carlos Michel
;
Rosa D. Reinosa
会议名称:
《International Test Conference》
|
2004年
36.
JITTER GENERATION AND MEASUREMENT FOR TEST OF MULTI- SERIAL IO
机译:
多串口IO测试的抖动发电和测量
作者:
Sassan Tabatabaei
;
Michael Lee
;
Freddy Ben-Zeev
会议名称:
《International Test Conference》
|
2004年
37.
Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion
机译:
使用扫描反转提高线性减压器的编码效率
作者:
Kedarnath J. Balakrishnan
;
Nur A. Touba
会议名称:
《International Test Conference》
|
2004年
38.
A frequency mixing and sub-sampling based RF-measurement apparatus for IEEE 1149.4
机译:
用于IEEE 1149.4的基于频率混合和基于副采样的RF测量装置
作者:
Hakkinen J.
;
Syri P.
;
Voutilainen J.-V.
;
Moilanen M.
会议名称:
《International Test Conference》
|
2004年
关键词:
microwave measurement;
IEEE standards;
signal generators;
voltage-controlled oscillators;
measurement uncertainty;
measurement systems;
frequency measurement;
frequency mixing method;
frequency subsampling method;
RF measurement apparatus;
radio frequency measurements;
IEEE 1149.4 environment;
RF signal sources;
analogue busses;
1149.4 standard;
RF signal generators;
VCO;
RF to LF circuitry;
analog test access device;
RF power measurement;
measurement uncertainty;
measurement instruments;
interconnect measurements;
SCANSTA400 device;
2 GHz;
3 GHz;
2.1 GHz;
39.
IPv6 conformance testing: theory and practice
机译:
IPv6一致性测试:理论与实践
作者:
Yujun Zhang
;
Zhongcheng Li
会议名称:
《International Test Conference》
|
2004年
关键词:
IP networks;
transport protocols;
conformance testing;
software reliability;
specification languages;
IPv6 conformance testing;
protocols;
IPv6 devices;
IPv6 reliability;
IPv6 test requirements;
test packets description;
IPv6 test framework;
IPv6 test suite specification language;
virtual test method;
low layer congregating test method;
40.
ATE data collection - a comprehensive requirements proposal to maximize ROI of test
机译:
ATE数据收集 - 全面要求最大化测试投资回报率的提案
作者:
Rehani M.
;
Abercrombie D.
;
Madge R.
;
Teisher J.
;
Saw J.
会议名称:
《International Test Conference》
|
2004年
关键词:
automatic test equipment;
ATE data collection;
ROI maximization;
ATE customers;
profitability;
statistical post processing;
die binning;
reliability;
burn-in elimination;
process yield improvement;
adaptive control;
product characterization;
test floor statistical process control;
ATE vendor;
measurement evaluation;
state of the art technology;
41.
A Design for Test Technique for Parametric Analysis of SRAM: On-Die Low Yield Analysis
机译:
SRAM参数分析测试技术设计:芯片低产率分析
作者:
Benjamin M. Mauck
;
Vishnumohan Ravichandran
;
Usman Azeez Mughal
会议名称:
《International Test Conference》
|
2004年
42.
Z-DFD: design-for-diagnosability based on the concept of Z-detection
机译:
Z-DFD:基于Z检测概念的诊断设计
作者:
Pomeranz I.
;
Venkataraman S.
;
Reddy S.M.
会议名称:
《International Test Conference》
|
2004年
关键词:
design for testability;
fault simulation;
circuit complexity;
circuit simulation;
combinational circuits;
logic design;
logic testing;
benchmark testing;
design for diagnosability;
fault diagnosis;
z -detection;
fault simulation;
benchmark circuits;
circuit complexity;
observation points;
fault pairs;
combinational circuits;
43.
CONTROLLED SINE WAVE FITTING FOR ADC TEST
机译:
用于ADC测试的控制正弦波拟合
作者:
H. Mattes
;
S. Sattler
;
Claus Dworski
会议名称:
《International Test Conference》
|
2004年
44.
Test strategy cost model innovations
机译:
测试策略成本模型创新
作者:
Michel C.
;
Reinosa R.D.
会议名称:
《International Test Conference》
|
2004年
关键词:
production testing;
inspection;
investment;
manufacturing processes;
printed circuit testing;
costing;
test strategy cost model;
cost model innovations;
inspection techniques;
manufacturing process;
trade off analysis;
return on investment analysis;
manufacturing test strategy;
National Electronics Manufacturing Initiative;
product test strategies;
45.
A design for test technique for parametric analysis of SRAM: on-die low yield analysis
机译:
SRAM参数分析测试技术设计:芯片低产率分析
作者:
Mauck B.M.
;
Ravichandran V.
;
Mughal U.A.
会议名称:
《International Test Conference》
|
2004年
关键词:
design for testability;
integrated circuit testing;
failure analysis;
semiconductor process modelling;
SRAM chips;
CMOS integrated circuits;
design for test technique;
parametric analysis;
SRAM;
on-die low yield analysis;
microprocessor;
fault isolation;
failure analysis;
CMOS process technology;
transistors;
leakage distortion;
scaling process technology;
65 nm;
46.
On-line Testing Field Programmable Analog Array Circuits
机译:
在线测试现场可编程模拟阵列电路
作者:
Haibo Wang
;
Suchitra Kulkarni
;
Spyros Tragoudas
会议名称:
《International Test Conference》
|
2004年
47.
Analysis of delay caused by bridging faults in RLC interconnects
机译:
RLC互连桥接故障引起的延迟分析
作者:
Zhou Q.
;
Mohanram K.
会议名称:
《International Test Conference》
|
2004年
关键词:
RLC circuits;
integrated circuit interconnections;
integrated circuit modelling;
fault diagnosis;
SPICE;
circuit simulation;
delay analysis;
resistive bridging defects;
inductive effects;
capacitive effects;
logic errors;
extra switching delay;
distributed RLC interconnect model;
closed form RLC model;
interconnect lines;
SPICE;
fault diagnosis;
circuit simulation;
48.
K longest paths per gate (KLPG) test generation for scan-based sequential circuits
机译:
K基于扫描的连续电路的K个最长路径(KLPG)测试生成
作者:
Qiu W.
;
Jing Wang
;
Walker D.M.H.
;
Reddy D.
;
Xiang Lu
;
Zhuo Li
;
Weiping Shi
;
Balachandran H.
会议名称:
《International Test Conference》
|
2004年
关键词:
sequential circuits;
fault diagnosis;
logic testing;
automatic test pattern generation;
boundary scan testing;
computational complexity;
built-in self test;
K longest paths per gate test generation;
delay faults;
test generation tools;
longest testable paths;
computational complexity;
scan based synchronous sequential circuits;
at-speed test methods;
ISCAS89 benchmark circuits;
industrial design;
transition faults testing;
logic testing;
built-in self test;
49.
Extending the Digital Core-Based Test Methodology to Support Mixed-Signal
机译:
扩展基于数字核心的测试方法来支持混合信号
作者:
Geert Seuren
;
Tom Waayers
会议名称:
《International Test Conference》
|
2004年
50.
A Modular Wrapper Enabling High Speed BIST and Repair for Small Wide Memories
机译:
一个模块化包装器,可实现高速BIST和用于小宽忆的修复
作者:
Robert C. Aitken
会议名称:
《International Test Conference》
|
2004年
51.
Realizing High Test Quality Goals with Smart Test Resource Usage
机译:
实现具有智能测试资源的高测试质量目标
作者:
Xinli Gu
;
Cyndee Wang
;
Kun-Han Tsai
;
Jan A. Tofte
;
Abby Lee
;
Bill Eklow
;
Mark Kassab
;
Janusz Rajski
会议名称:
《International Test Conference》
|
2004年
52.
EFFICIENT PATTERN MAPPING FOR DETERMINISTIC LOGIC BIST
机译:
确定性逻辑BIST的高效模式映射
作者:
Valentin Gherman
;
Harald Vranken
;
Friedrich Hapke
;
Hans-Joachim Wunderlich
;
Michael Wittke
;
Michael Garbers
会议名称:
《International Test Conference》
|
2004年
53.
How to bridge the gap between simulation and test
机译:
如何弥合仿真与测试之间的差距
作者:
M. Zambaldi
;
W. Ecker
会议名称:
《International Test Conference》
|
2004年
54.
Extending STIL 1450 standard for test program flow
机译:
延长STIL 1450标准,用于测试程序流程
作者:
Dowding D.
;
Wahl E.
;
Organ D.
会议名称:
《International Test Conference》
|
2004年
关键词:
IEEE standards;
automatic test equipment;
time to market;
automatic test pattern generation;
design for testability;
STIL 1450 standard;
IEEE P1450.4;
test program flow extension;
design to test automation tools;
portability;
ATE platforms;
semiconductor industry;
time to market;
program generation;
55.
Programmable at-speed array and functional BIST for embedded DRAM LSI
机译:
可编程的AT-SCEED阵列和嵌入式DRAM LSI的功能BIST
作者:
Kume M.
;
Uehara K.
;
Itakura M.
;
Sawamoto H.
;
Kobayashi T.
;
Hasegawa M.
;
Hayashi H.
会议名称:
《International Test Conference》
|
2004年
关键词:
DRAM chips;
built-in self test;
integrated circuit testing;
design for testability;
large scale integration;
cache storage;
programmable logic arrays;
logic testing;
SRAM chips;
functional BIST;
DFT;
design for test;
BIST engine;
embedded DRAM cache LSI;
SRAM macros;
programmable logic arrays;
56.
On-chip impulse response generation for analog and mixed-signal testing
机译:
模拟和混合信号测试的片上脉冲响应生成
作者:
Singh A.
;
Patel C.
;
Plusquellic J.
会议名称:
《International Test Conference》
|
2004年
关键词:
mixed analogue-digital integrated circuits;
analogue integrated circuits;
integrated circuit testing;
design for testability;
system-on-chip;
continuous time filters;
fault diagnosis;
regression analysis;
correlation methods;
onchip impulse response generation;
analog signal testing;
mixed-signal testing;
analog linear circuit components;
mixed-signal linear circuit components;
impulse response signatures;
DFT structure;
step responses;
pseudorandom patterns;
onchip cross-correlation methods;
autocorrelation methods;
statistical methods;
linear regression analysis;
defect screening;
continuous time filter;
active stable variable filter;
benchmark circuit;
device under test;
short resistive faults;
open resistive faults;
57.
Realizing high test quality goals with smart test resource usage
机译:
实现具有智能测试资源的高测试质量目标
作者:
Xinli Gu
;
Wang C.
;
Lee A.
;
Eklow B.
;
Kun-Han Tsai
;
Tofte J.A.
;
Kassab M.
;
Rajski J.
会议名称:
《International Test Conference》
|
2004年
关键词:
design for testability;
automatic test pattern generation;
built-in self test;
logic testing;
fault diagnosis;
integrated circuit testing;
application specific integrated circuits;
smart test resource usage;
high test quality;
ASIC design sizes;
advanced deep submicron technology;
fault models;
test vectors;
cost constraints;
test quality metrics;
industrial designs;
DFT techniques;
ATPG;
compressed deterministic patterns;
logic BIST;
pseudorandom patterns;
stuck at tests;
embedded deterministic test;
58.
TESTING THE CONFIGURABLE ANALOG BLOCKS OF FIELD PROGRAMMABLE ANALOG ARRAYS
机译:
测试现场可编程模拟阵列的可配置模拟块
作者:
T. Balen
;
A. Andrade Jr.
;
F. Azais
;
M. Lubaszewski
;
M. Renovell
会议名称:
《International Test Conference》
|
2004年
59.
Random and Systematic Defect Analysts Using IDDQ Signature Analysis for Understanding Falls and Guiding Test Decisions
机译:
随机和系统缺陷分析师使用IDDQ签名分析,以了解跌倒和指导测试决策
作者:
Phil Nigh
;
Anne Gattiker
会议名称:
《International Test Conference》
|
2004年
60.
Channel masking synthesis for efficient on-chip test compression
机译:
通道掩蔽合成用于高效的片上测试压缩
作者:
Chickermane V.
;
Foutz B.
;
Keller B.
会议名称:
《International Test Conference》
|
2004年
关键词:
system-on-chip;
built-in self test;
integrated circuit design;
integrated circuit testing;
automatic test pattern generation;
logic testing;
channel masking synthesis;
on-chip test compression;
product test compression methods;
unknown logic states;
scan elements;
channel masking hardware;
large industrial designs;
typical design flow;
automatic test pattern generation;
61.
Affordable and effective screening of delay defects in ASICs using the inline resistance fault model
机译:
使用内联电阻故障模型实惠和有效地筛选ASIC中的延迟缺陷
作者:
Benware B.
;
Lu C.
;
Van Slyke J.
;
Prabhu Krishnamurthy
;
Madge R.
;
Keim M.
;
Kassab M.
;
Rajski J.
会议名称:
《International Test Conference》
|
2004年
关键词:
application specific integrated circuits;
automatic test pattern generation;
integrated circuit testing;
integrated circuit modelling;
fault diagnosis;
delays;
transition delay fault testing;
ASIC;
inline resistance fault model;
very deep submicron technology;
timing failures;
transition delay fault model;
test patterns;
ATPG;
IDDQ;
statistical post processing;
multiple test coverage metrics;
62.
Autonomous yet deterministic test of SOC cores
机译:
SoC核心的自主又决定性测试
作者:
Sinanoglu O.
;
Orailoglu A.
会议名称:
《International Test Conference》
|
2004年
关键词:
system-on-chip;
integrated circuit testing;
shift registers;
logic gates;
fault diagnosis;
logic testing;
automatic testing;
vectors;
SOC cores;
SOC test;
tester channels;
test vectors;
core scan chains;
tester memory;
core self test;
fault coverage levels;
LFSR;
linear feedback shift register;
pseudo random patterns;
logic gates;
core scan cells;
63.
An SOC test integration platform and its industrial realization
机译:
SOC测试集成平台及其工业实现
作者:
Kuo-Liang Cheng
;
Jing-Reng Huang
;
Chih-Wea Wang
;
Chih-Yen Lo
;
Li-Ming Denq
;
Chih-Tsun Huang
;
Cheng-Wen Wu
;
Shin-Wei Hung
;
Jye-Yuan Lee
会议名称:
《International Test Conference》
|
2004年
关键词:
system-on-chip;
boundary scan testing;
scheduling;
integrated circuit design;
integrated circuit testing;
integrated circuit manufacture;
SOC test integration platform;
industrial realization;
system on chip;
test scheduling method;
test access mechanism;
IO resource constraints;
test wrapper architecture;
scan test;
short test integration cost;
chip fabrication;
chip design;
timing;
functional test;
64.
Production Test Effectiveness of Combined Automated Inspection and ICT Test Strategies
机译:
自动化检查和ICT测试策略的生产测试效果
作者:
Amit Verma
会议名称:
《International Test Conference》
|
2004年
65.
Defect detection under Realistic Leakage Models using Multiple I{sub}(DDQ) Measurements
机译:
使用多个I {sub}(DDQ)测量的现实泄漏模型下的缺陷检测
作者:
Chintan Patel
;
Abhishek Singh
;
Jim Plusquellic
会议名称:
《International Test Conference》
|
2004年
66.
IEEE P1500-compliant test wrapper design for hierarchical cores
机译:
IEEE P1500标准的测试包装器设计,用于分层核心
作者:
Sehgal A.
;
Goel S.K.
;
Marinissen E.J.
;
Chakrabarty K.
会议名称:
《International Test Conference》
|
2004年
关键词:
IEEE standards;
system-on-chip;
integrated circuit design;
integrated circuit testing;
generic IEEE P1500-compliant wrapper architecture;
reconfigurable wrapper design;
hierarchical core wrappers;
benchmark SOC;
system-on-chips;
hierarchical core testing;
embedded cores;
test access mechanism architecture;
single test mode;
wrapper operation;
automatic testing;
heuristic approach;
67.
Quasi-oscillation based test for improved prediction of analog performance parameters
机译:
基于准振荡的模拟性能参数预测的试验
作者:
Raghunathan A.
;
Chun J.H.
;
Abraham J.A.
;
Chatterjee A.
会议名称:
《International Test Conference》
|
2004年
关键词:
analogue integrated circuits;
integrated circuit testing;
fault diagnosis;
circuit oscillations;
catastrophe theory;
predictive quasioscillation based technique;
analog performance parameters;
catastrophic fault detect;
parametric fault detection;
CUT;
circuit under test;
process parameter variations;
predictive oscillation based test;
68.
Minimizing Power Consumption in Scan Testing: Pattern Generation and DFT Techniques
机译:
最小化扫描测试中的功耗:模式生成和DFT技术
作者:
Kenneth M. Butler
;
Jayashree Saxena
;
Tony Fryars
;
Graham Hetherington
;
Atul Jain
;
Jack Lewis
会议名称:
《International Test Conference》
|
2004年
69.
In search of the optimum test set - adaptive test methods for maximum defect coverage and lowest test cost
机译:
寻找最佳测试集 - 用于最大缺陷覆盖率和最低测试成本的自适应测试方法
作者:
Madge R.
;
Benware B.
;
Turakhia R.
;
Daasch R.
;
Schuermyer C.
;
Ruffler J.
会议名称:
《International Test Conference》
|
2004年
关键词:
silicon;
elemental semiconductors;
integrated circuit testing;
quality control;
cost reduction;
Pareto analysis;
adaptive test methods;
maximum defect coverage;
product quality;
submicron process;
parametric distributions;
vector counts;
binary search routines;
subtle defect screening;
parametric testing;
at-spec testing;
silicon;
test cost reduction;
Pareto analysis;
Si;
70.
DFT for test optimisations in a complex mixed-signal SOC - case study on TI's TNETD7300 ADSL modem device
机译:
DFT在复杂的混合信号SoC中的测试优化 - Ti的TNETD7300 ADSL调制解调器设备的案例研究
作者:
Nikila K.
;
Parekhji R.A.
会议名称:
《International Test Conference》
|
2004年
关键词:
design for testability;
mixed analogue-digital integrated circuits;
integrated circuit design;
system-on-chip;
built-in self test;
integrated circuit testing;
circuit optimisation;
modems;
digital subscriber lines;
silicon;
industrial property;
elemental semiconductors;
DFT;
test optimisations;
complex mixed signal SOC;
TNETD7300 ADSL modem device;
SOC test integration;
SOC test quality;
SOC test cost;
single chip ADSL modem;
analog-digital subsystems;
embedded memories;
analog functions;
clock frequencies;
configurable memory;
BIST operation;
Texas Instruments;
low cost testers;
digital logic functions;
nonhomogeneous IP cores;
SOC test modes;
silicon test;
71.
MRAM defect analysis and fault modeling
机译:
MRAM缺陷分析和故障建模
作者:
Chin-Lung Su
;
Rei-Fu Huang
;
Cheng-Wen Wu
;
Chien-Chung Hung
;
Ming-Jer Kao
;
Yeong-Jar Chang
;
Wen-Ching Wu
会议名称:
《International Test Conference》
|
2004年
关键词:
random-access storage;
integrated memory circuits;
integrated circuit testing;
SPICE;
fault simulation;
integrated circuit modelling;
magnetic random access memory;
memory defect analysis;
memory fault modeling;
embedded memory cores;
onchip memory;
RAM;
EEPROM;
flash memory;
read-write operation;
SPICE model;
stuck-at fault model;
fault simulation;
0.18 micron;
72.
Test programming environment in a modular, open architecture test system
机译:
测试编程环境在模块化,开放式架构测试系统中
作者:
Pramanick A.
;
Krishnaswamy R.
;
Elston M.
;
Adachi T.
;
Harsanjeet Singh
;
Parnas B.
;
Chen L.
会议名称:
《International Test Conference》
|
2004年
关键词:
semiconductor device testing;
modules;
automatic test equipment;
open systems;
open architecture test system;
device test program development;
modular test system;
test class programming;
pattern management;
software based solutions;
third party hardware modules;
Advantest Corporations T2000 system;
OPENSTAR/spl trade/ specification;
73.
Logic BIST With Scan Chain Segmentation
机译:
具有扫描链分割的逻辑BIST
作者:
Liyang Lai
;
Janak H. Patel
;
Thomas Rinderknecht
;
Wu-Tung Cheng
会议名称:
《International Test Conference》
|
2004年
74.
AUTOMATIC LINEARITY (IP3) TEST WITH BUILT-IN PATTERN GENERATOR AND ANALYZER
机译:
内置图案生成器和分析仪的自动线性度(IP3)测试
作者:
Foster Dai
;
Charles Stroud
;
Dayu Yang
;
Shuying Qi
会议名称:
《International Test Conference》
|
2004年
75.
Architectures of increased availability wireless sensor network nodes
机译:
增加可用性无线传感器网络节点的架构
作者:
Man Wah Chiang
;
Zilic Z.
;
Radecka K.
;
Chenard J.-S.
会议名称:
《International Test Conference》
|
2004年
关键词:
wireless sensor networks;
telecommunication network reliability;
protocols;
microcontrollers;
wireless sensor network nodes;
low energy consumption;
network reliability;
network serviceability;
redundant nodes;
control protocols;
remote testing;
onboard test infrastructure;
sensor node infrastructure;
standard JTAG chains;
scalable architectures;
COTS components;
microcontroller;
76.
A high-throughput 5 Gbps timing and jitter test module featuring localized processing
机译:
具有本地化处理的高吞吐量5 GBPS定时和抖动测试模块
作者:
Hafed M.M.
;
Chan A.H.
;
Duerden G.
;
Pishdad B.
;
Tam C.
;
Laberge S.
;
Roberts G.W.
会议名称:
《International Test Conference》
|
2004年
关键词:
timing;
jitter;
integrated circuit measurement;
integrated circuit testing;
automatic test equipment;
design for testability;
current-mode logic;
timing test module;
jitter test module;
timing test system;
jitter test system;
integrated circuit measurement methods;
localized test result processing;
timing measurement units;
timing generation units;
hardware digital processing units;
parameter extraction;
component invariant vernier delay measurement circuit;
linear programmable delay circuitry;
LVDS;
CML highspeed digital interface standards;
device under test board;
relative delay generation resolution;
autonomous testing;
platform independent pass-fail testing;
5 Gbit/s;
3 ps;
77.
Integrating Core Selection in the SOC Test Solution Design-Flow
机译:
集成SoC测试解决方案设计流程中的核心选择
作者:
Erik Larsson
会议名称:
《International Test Conference》
|
2004年
78.
Autonomous Yet Deterministic Test of SOC Cores
机译:
SoC核心的自主又决定性测试
作者:
Ozgur Sinanoglu
;
Alex Orailoglu
会议名称:
《International Test Conference》
|
2004年
79.
VirtualScan: A New Compressed Scan Technology for Test Cost Reduction
机译:
VirtualScan:用于测试成本降低的新型压缩扫描技术
作者:
Laung-Terng Wang
;
Xiaoqing Wen
;
Hiroshi Furukawa
;
Fei-Sheng Hsu
;
Shyh-Horng Lin
;
Sen-Wei Tsai
;
Khader S. Abdel-Hafez
;
Shianling Wu
会议名称:
《International Test Conference》
|
2004年
80.
Experimental results for high-speed jitter measurement technique
机译:
高速抖动测量技术的实验结果
作者:
Taylor K.
;
Nelson B.
;
Chong A.
;
Nguyen H.
;
Lin H.
;
Soma M.
;
Haggag H.
;
Huard J.
;
Braatz J.
会议名称:
《International Test Conference》
|
2004年
关键词:
built-in self test;
jitter;
high-speed techniques;
BiCMOS integrated circuits;
CMOS integrated circuits;
integrated circuit testing;
integrated circuit design;
high speed jitter measurement technique;
BIST method;
BiCMOS chips;
jitter resolution;
clock signal;
ADC;
external jitter-free reference clock;
30 to 50 ps;
1 GHz;
81.
A Holistic Parallel and Hierarchical Approach towards Design-For-Test
机译:
用于设计设计的整体平行和分层方法
作者:
C. P. Ravikumar
;
G. Hetherington
会议名称:
《International Test Conference》
|
2004年
关键词:
Experiments and Case Studies;
Practical Test Engineering;
82.
MRAM Defect Analysis and Fault Modeling
机译:
MRAM缺陷分析和故障建模
作者:
Chin-Lung Su
;
Rei-Fu Huang
;
Cheng-Wen Wu
会议名称:
《International Test Conference》
|
2004年
83.
Spectral analysis for statistical response compaction during built-in self-testing
机译:
内置自检过程中统计响应压实的光谱分析
作者:
Omar Khan
;
Bushnell M.L.
会议名称:
《International Test Conference》
|
2004年
关键词:
built-in self test;
logic testing;
spectral analysis;
integrated circuit testing;
fault simulation;
signal processing;
automatic test pattern generation;
spectral analysis;
statistical response compaction;
built-in self test;
spectral BIST system;
digital circuit;
sequential circuits;
spectral test-pattern generator;
spectral response compactors;
multiple input signature register;
automatic test pattern generation;
signal processing;
84.
Testing Micropipelined Asynchronous Circuits
机译:
测试微型环绕异步电路
作者:
Matthew L. King
;
Kewal K. Saluja
会议名称:
《International Test Conference》
|
2004年
85.
BER estimation for serial links based on jitter spectrum and clock recovery characteristics
机译:
基于抖动频谱和时钟恢复特性的串行链路估算
作者:
Dongwoo Hong
;
Chee-Kian Ong
;
Kwang-Ting Cheng
会议名称:
《International Test Conference》
|
2004年
关键词:
data communication;
error statistics;
synchronisation;
timing jitter;
production testing;
phase locked loops;
BER estimation;
bit error rate;
serial links;
jitter spectrum characteristics;
clock recovery circuit characteristics;
serial communication systems;
data recovery circuits;
jitter spectral information;
production test time reduction;
phase locked loops;
86.
Interconnect Test Pattern Generation Algorithm For Meeting Device and Global SSO Limits With Safe Initial Vectors
机译:
互连测试模式生成算法及具有安全初始向量的全局SSO限制
作者:
Kendrick Baker
;
Mehrdad Nourani
会议名称:
《International Test Conference》
|
2004年
87.
FORMAL DESCRIPTION OF TEST SPECIFICATION AND ATE ARCHITECTURE FOR MIXED-SIGNAL TEST
机译:
用于混合信号测试的测试规范和ATE架构的正式描述
作者:
Baolin Deng
;
Wolfram Glauert
会议名称:
《International Test Conference》
|
2004年
88.
A HIGH-THROUGHPUT 5 GBPS TIMING AND JITTER TEST MODULE FEATURING LOCALIZED PROCESSING
机译:
具有本地化处理的高吞吐量5 GBPS定时和抖动测试模块
作者:
Mohamed M. Hafed
;
Antonio H. Chan
;
Geoffrey Duerden
;
Bardia Pishdad
;
Clarence Tarn
;
Sebastien Laberge
;
Gordon W. Roberts
会议名称:
《International Test Conference》
|
2004年
89.
Concurrent Testing of Droplet-Based Microfluldic Systems for Multiplexed Biomedical Assays
机译:
用于多路复用生物医学测定的液滴基微孔系统并发测试
作者:
Fei Su
;
Sule Ozev
;
Krishnendu Chakrabarty
会议名称:
《International Test Conference》
|
2004年
90.
Experimental Results for High-Speed Jitter Measurement Technique
机译:
高速抖动测量技术的实验结果
作者:
Karen Taylor
;
Bryan Nelson
;
Alan Chong
;
Hieu Nguyen
;
Henry Lin
;
Mani Soma
;
Hosam Haggag
;
Jeff Huard
;
Jim Braatz
会议名称:
《International Test Conference》
|
2004年
91.
Trends in Testing Integrated Circuits
机译:
测试集成电路的趋势
作者:
Bart Vermeulen
;
Camelia Hora
;
Bram Kruseman
;
Erik Jan Marinissen
;
Robert van Rijsinge
会议名称:
《International Test Conference》
|
2004年
92.
X-TOLERANT SIGNATURE ANALYSIS
机译:
X耐受签名分析
作者:
Subhasish Mitra
;
Steven S. Lumetta
;
Michael Mitzenmacher
会议名称:
《International Test Conference》
|
2004年
93.
A DFT technique for delay fault testability and diagnostics in 32-bit high performance CMOS ALUs
机译:
32位高性能CMOS ALU延迟故障可测试性和诊断的DFT技术
作者:
Chatterjee B.
;
Sachdev M.
;
Keshavarzi A.
会议名称:
《International Test Conference》
|
2004年
关键词:
design for testability;
CMOS digital integrated circuits;
integrated circuit testing;
integrated circuit reliability;
microprocessor chips;
fault simulation;
DFT technique;
delay fault testability;
fault diagnostics;
digital CMOS circuit design;
32-bit high performance ALU;
aggressive technology scaling;
multigigahertz microprocessors;
IC testing;
IC reliability;
delay fault detection;
parametric failures;
energy-delay tradeoffs;
fault simulation;
180 to 65 nm;
94.
Evaluation of the Quality of N-Betect Scan ATPG Patterns on a Processor
机译:
评估处理器上的N-BENECT扫描ATPG模式的质量
作者:
M. Enamul Amyeen
;
Srikanth Venkataraman
;
Ajay Ojha Sangbong Lee
会议名称:
《International Test Conference》
|
2004年
95.
A code-less BIST processor for embedded test and in-system configuration of boards and systems
机译:
较少的BIST处理器,用于电路板和系统的嵌入式测试和系统配置
作者:
Clark C.J.
;
Ricchetti M.
会议名称:
《International Test Conference》
|
2004年
关键词:
field programmable gate arrays;
built-in self test;
logic testing;
logic design;
microprocessor chips;
printed circuit design;
printed circuit testing;
code less BIST processor;
built-in self-test;
embedded test;
system FPGA configuration;
system BIST architecture;
product test;
IP design;
printed circuit testing;
printed circuit design;
96.
Built-in Self-Test for System-on-Chip: A Case Study
机译:
用于系统系统的内置自检:案例研究
作者:
Charles Stroud
;
John Sunwoo
;
Srinivas Garimella
;
Jonathan Harris
会议名称:
《International Test Conference》
|
2004年
97.
Evaluating the effectiveness of detecting delay defects in the slack interval: a simulation study
机译:
评估延迟缺陷的延迟缺陷在SLACK间隔中的有效性:模拟研究
作者:
Haihua Yan
;
Singh A.D.
会议名称:
《International Test Conference》
|
2004年
关键词:
switching circuits;
integrated circuit testing;
fault simulation;
delay defect detection;
delay testing;
slack interval;
neighboring dies;
injected delay faults;
ISCAS benchmark circuits;
RC switching delay model;
delay defect diagnosis;
circuit path delays;
nanometer technology;
fault simulation;
98.
BER Estimation for Serial Links Based on Jitter Spectrum and Clock Recovery Characteristics
机译:
基于抖动频谱和时钟恢复特性的串行链路估算
作者:
Dongwoo Hong
;
Chee-Kian Ong
;
Kwang-Ting (Tim) Cheng
会议名称:
《International Test Conference》
|
2004年
99.
State variable extraction to reduce problem complexity for ATPG and design validation
机译:
状态变量提取以降低ATPG和设计验证的问题复杂性
作者:
Qingwei Wu
;
Michael S. Hsiao
会议名称:
《International Test Conference》
|
2004年
100.
Logic BIST with scan chain segmentation
机译:
具有扫描链分割的逻辑BIST
作者:
Liyang Lai
;
Patel J.H.
;
Rinderknecht T.
;
Wu-Tung Cheng
会议名称:
《International Test Conference》
|
2004年
关键词:
built-in self test;
logic testing;
integrated circuit testing;
automatic test pattern generation;
fault simulation;
logic BIST;
scan chain segmentation;
built-in self test;
pseudo random patterns;
single weight patterns;
circuit under test;
multiple segments;
inverters;
control logic;
上一页
6
7
8
9
10
11
12
13
14
15
16
下一页
意见反馈
回到顶部
回到首页