掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Test Conference
International Test Conference
召开年:
2019
召开地:
Washington(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
3426
条结果
1.
A static noise impact analysis methodology for evaluating transient error effects in digital VLSI circuits
机译:
一种静态噪声影响分析方法,用于评估数字VLSI电路中的瞬态误差效应
作者:
Chong Zhao
;
Xiaoliang Bai
;
Sujit Dey
会议名称:
《International Test Conference》
|
2005年
关键词:
VLSI;
digital integrated circuits;
fault tolerance;
integrated circuit noise;
integrated circuit reliability;
matrix algebra;
HSPICE simulation;
circuit elements;
circuit failure rate;
circuit vulnerability;
circuit-noise interaction;
digital VLSI circuits;
error-re;
2.
A random access scans architecture to reduce hardware overhead
机译:
随机访问扫描架构,以减少硬件开销
作者:
Mudlapur A.S.
;
Agrawal V.D.
;
Singh A.D.
会议名称:
《International Test Conference》
|
2005年
关键词:
boundary scan testing;
delays;
flip-flops;
logic testing;
RAS flip-flops;
circuit under test;
delay testing;
hardware overhead;
multistage scan-out system;
random access scans;
scan-in signal wire;
serial scan;
testable paths;
toggle mechanism;
3.
A concurrent BIST scheme for on-line/off-line testing based on a pre-computed test set
机译:
基于预计算机测试集的在线/离线测试的并发BIST方案
作者:
Voyiatzis I.
;
Gizopoulos D.
;
Paschalis A.
;
Halatsis C.
会议名称:
《International Test Conference》
|
2005年
关键词:
built-in self test;
logic testing;
circuit under test;
concurrent BIST scheme;
concurrent on-line testing;
input vector monitoring;
periodic off-line testing;
pre-computed test set;
pseudorandom testing;
4.
Variance reduction and outliers: statistical analysis of semiconductor test data
机译:
差异减少和异常值:半导体测试数据的统计分析
作者:
Daasch W.R.
;
Madge R.
会议名称:
《International Test Conference》
|
2005年
关键词:
integrated circuit testing;
regression analysis;
data driven model;
die-by-die estimate;
outlier detection;
outlier screening;
parametric distributions;
semiconductor test data;
statistical analysis;
test response;
variance reduction;
wafer spatial patterns;
5.
STIL persistence data reduction
机译:
STIL持久性数据减少
作者:
Maston G.
;
Villar J.
会议名称:
《International Test Conference》
|
2005年
关键词:
IEEE standards;
computerised instrumentation;
IEEE Std. 1450-1999;
STIL data;
data persistence;
data reduction;
standard test interface language;
6.
A test case for 3Gbps serial attached SCSI (SAS)
机译:
3Gbps串行连接SCSI的测试用例(SAS)
作者:
Cai Y.
;
Fang L.
;
Ratemo R.
;
Liu J.
;
Gross K.
;
Kozma M.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
computer testing;
hard discs;
peripheral interfaces;
production testing;
3.2 Gbit/s;
Agilent 93000 C400 ATE;
NP cards;
NP3GXS pins;
SAS;
SOC;
computer hard drives;
fiber channel;
hard drive controller IC;
production testing;
serial attached SC;
7.
Position Statement: 'Have we overcome the challenges associated with SoC and multi-core testing?'
机译:
立场声明:“我们是否克服了与SOC和多核测试相关的挑战?”
作者:
Tim Wood
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
8.
Soft errors: is the concern for soft-errors overblown?
机译:
软错误:是对软错误过度的关注吗?
作者:
Vijaykrishnan N.
会议名称:
《International Test Conference》
|
2005年
关键词:
radiation hardening (electronics);
combinational logic;
cosmic ray particles;
soft error analysis;
soft error protection;
9.
Panel Synopsis: Reducing High-Speed/RF Test Cost: Guaranteed by Design or Guaranteed to Fail?
机译:
小组概要:降低高速/射频测试成本:由设计保证或保证失败?
作者:
Hosam Haggag
;
Abhijit Chatterjee
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
10.
Column parity and row selection (CPRS): a BIST diagnosis technique for multiple errors in multiple scan chains
机译:
列奇偶校验和行选择(CPRS):多扫描链中多个误差的BIST诊断技术
作者:
Hung-Mao Lin
;
Li J.C.-M.
会议名称:
《International Test Conference》
|
2005年
关键词:
boundary scan testing;
built-in self test;
error detection;
shift registers;
BIST diagnosis technique;
CPRS;
LFSR;
column parity and row selection;
multiple error diagnosis;
multiple scan chains;
row parity;
11.
Efficient compression of deterministic patterns into multiple PRPG seeds
机译:
将确定性模式的高效压缩成多个PRPG种子
作者:
Wohl P.
;
Waicukauski J.A.
;
Patel S.
;
DaSilva F.
;
Williams T.W.
;
Kapur R.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
data compression;
logic testing;
deterministic patterns;
multiple PRPG seeding;
pseudorandom pattern generator;
scan load mapping;
test-compression circuitry;
test-cost reduction;
unload-data compression;
12.
Power-supply noise in SoCs: ATPG, estimation and control
机译:
SOC中电源噪声:ATPG,估计和控制
作者:
Nourani M.
;
Radhakrishnan A.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
integrated circuit noise;
integrated circuit testing;
logic testing;
system-on-chip;
ATPG;
SoC;
automatic test pattern generation;
nonembedded core;
power-supply lines;
power-supply noise;
power-supply pins;
system-on-chip;
13.
Testing throughput computing interconnect topologies with Tbits/sec bandwidth in manufacturing and in field
机译:
测试吞吐量计算互连拓扑与Tbits / Sec带宽在制造和字段中
作者:
Parulkar I.
;
Huang D.
;
Chua L. Jr.
;
Doblar D.
会议名称:
《International Test Conference》
|
2005年
关键词:
design for testability;
integrated circuit interconnections;
integrated circuit manufacture;
integrated circuit testing;
microprocessor chips;
SerDes I-O testing;
Sun Microsystems;
design-for-testability;
interconnect topology testing;
on-line test;
system interco;
14.
Hazard-aware statistical timing simulation and its applications in screening frequency-dependent defects
机译:
危险感知统计时序仿真及其在筛选频率依赖性缺陷中的应用
作者:
Lee B.
;
Hui Li
;
Wang L.-C.
;
Abadir M.S.
会议名称:
《International Test Conference》
|
2005年
关键词:
delays;
fault simulation;
integrated circuit testing;
logic testing;
statistical analysis;
timing;
2-pattern vector;
defect detection;
hazard-aware statistical timing simulation;
multiple test frequencies;
pattern delays;
pattern selection;
process variations;
screen;
15.
Test data compression for IP embedded cores using selective encoding of scan slices
机译:
使用扫描切片的选择性编码测试IP嵌入式核心的数据压缩
作者:
Zhanglei Wang
;
Chakrabarty K.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
boundary scan testing;
built-in self test;
data compression;
integrated circuit testing;
logic testing;
EDT;
SmartBIST;
dynamic compaction;
fault simulation;
intellectual property embedded cores;
interleaved test generation;
on-chi;
16.
Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring
机译:
使用泄漏和延迟监测可靠和自修复SRAM在纳米级技术中
作者:
Saibal Mukhopadhyay
;
Kunhyuk Kang
;
Hamid Mahmoodi
;
Kaushik Roy
会议名称:
《International Test Conference》
|
2005年
关键词:
SRAM chips;
delays;
nanotechnology;
70 nm;
adaptive body bias;
adaptive repairing technique;
delay monitoring;
leakage monitoring;
nanoscale technologies;
self-repairing SRAM;
17.
March AB, March AB1: new March tests for unlinked dynamic memory faults
机译:
3月AB,3月AB1:新的3月测试,用于未链接的动态存储器故障
作者:
Benso A.
;
Bosio A.
;
Di Carlo S.
;
Di Natale G.
;
Prinetto P.
会议名称:
《International Test Conference》
|
2005年
关键词:
SRAM chips;
fault diagnosis;
integrated circuit testing;
logic testing;
March AB test;
March AB1 test;
SRAM;
fault coverage;
integrated circuit testing;
memory production technologies;
static random access memories;
unlinked dynamic memory faults;
18.
Guaranteed by Design or Guaranteed to Fail or Guaranteed by Test? or ... Neither?
机译:
通过设计保证或保证通过测试(通过测试保证)或......既不是吗?
作者:
Mani Soma
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
19.
Testing high-speed, large scale implementation of SerDes I/Os on chips used in throughput computing systems
机译:
在吞吐量计算系统中使用的芯片上测试高速,大规模实施Serdes I / O
作者:
Robertson I.
;
Hetherington G.
;
Leslie T.
;
Parulkar I.
;
Lesnikoski R.
会议名称:
《International Test Conference》
|
2005年
关键词:
computer testing;
design for testability;
high-speed integrated circuits;
integrated circuit layout;
integrated circuit testing;
microprocessor chips;
system buses;
ATE;
SerDes I-O;
automatic test equipment;
clock embedded data stream;
design for testability;
high-s;
20.
Multiple tests for each gate delay fault: higher coverage and lower test application cost
机译:
每个闸门延迟故障的多次测试:较高的覆盖率和更低的测试施用成本
作者:
Irajpour S.
;
Gupta S.K.
;
Breuer M.A.
会议名称:
《International Test Conference》
|
2005年
关键词:
delays;
fault simulation;
integrated circuit testing;
benchmark circuits;
delay fault sizes;
multiple tests;
simulation algorithm;
single gate delay fault;
test generation;
test set compaction;
21.
Partnering with Customer to Achieve High Yield
机译:
与客户合作以实现高收益率
作者:
James Wang
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
22.
IEEE 1500 utilization in SOC design and test
机译:
IEEE 1500 SoC设计和测试中的利用率
作者:
Zorian Y.
;
Yessayan A.
会议名称:
《International Test Conference》
|
2005年
关键词:
IEEE standards;
design for testability;
integrated circuit design;
integrated circuit testing;
system-on-chip;
IEEE 1500 standard;
Internet protocol cores;
SoC;
design-for-testability;
system-on-chip;
23.
A practical perspective on reducing ASIC NTFs
机译:
减少ASIC NTFS的实用视角
作者:
Conroy Z.
;
Richmond G.
;
Xinli Gu
;
Eklow B.
会议名称:
《International Test Conference》
|
2005年
关键词:
application specific integrated circuits;
integrated circuit testing;
logic testing;
ASIC NTF;
ASIC test;
application specific integrated circuit;
functional test;
no trouble found;
system test;
24.
Enabling yield analysis with X-compact
机译:
通过X-Compact实现产量分析
作者:
Stanojevic Z.
;
Ruifeng Guo
;
Mitra S.
;
Venkataraman S.
会议名称:
《International Test Conference》
|
2005年
关键词:
boundary scan testing;
combinational circuits;
data reduction;
fault diagnosis;
flip-flops;
logic testing;
production testing;
X-compact analysis;
combinational logic;
high volume manufacturing;
production test;
scan test;
scan-based diagnosis tools;
statistical data;
25.
Impact of back side circuit edit on active device performance in bulk silicon ICs
机译:
背面电路编辑对散装硅IC中的主动设备性能的影响
作者:
Kerst U.
;
Schlangen R.
;
Kabakow A.
;
Le Roy E.
;
Lundquist T.R.
;
Pauthner S.
会议名称:
《International Test Conference》
|
2005年
关键词:
circuit CAD;
field effect transistors;
focused ion beam technology;
silicon;
silicon-on-insulator;
FET;
SOI structures;
active device performance;
back side circuit edit;
bulk silicon IC;
ring oscillators;
silicon parallel thinning;
silicon thicknesses;
26.
Understanding NTF components from the field
机译:
了解来自现场的NTF组件
作者:
Davidson S.
会议名称:
《International Test Conference》
|
2005年
关键词:
data mining;
failure analysis;
integrated circuit testing;
NTF parts;
board test;
data mining;
defect coverage;
no trouble found;
27.
Methods for improving transition delay fault coverage using broadside tests
机译:
使用广播测试改善过渡延迟故障覆盖的方法
作者:
Devtaprasanna N.
;
Gunda A.
;
Krishnamurthy P.
;
Reddy S.M.
;
Pomeranz I.
会议名称:
《International Test Conference》
|
2005年
关键词:
boundary scan testing;
delays;
flip-flops;
logic testing;
broadside tests;
control signal;
pattern tests;
scan designs;
scan flip-flops;
skewed-load testing;
system clock;
transition delay fault coverage;
28.
Logic proximity bridges
机译:
逻辑接近桥梁
作者:
Tran E.N.
;
Krishna V.
;
Zachariah S.
;
Chakravarty S.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
fault diagnosis;
logic testing;
coverage metrics;
logic net-list;
logic proximity bridges;
n-detect patterns;
29.
Remote boundary-scan system test control for the ATCA standard
机译:
ATCA标准的远程边界扫描系统测试控制
作者:
Backstrom D.
;
Carlsson G.
;
Larsson E.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
boundary scan testing;
field buses;
printed circuit testing;
ATCA standard;
I/sup 2/C bus;
advanced telecom computing;
boundary-scan controller;
boundary-scan tests;
boundary-scan wiring capability;
intelligent platform management bus;
mul;
30.
Production-oriented interface testing for PCI-Express by enhanced loop-back technique
机译:
通过增强的环回技术进行生产型接口测试PCI-Express
作者:
Lin M.
;
Kwang-Ting Cheng
;
Hsu J.
;
Sun M.C.
;
Chen J.
;
Lu S.
会议名称:
《International Test Conference》
|
2005年
关键词:
computer testing;
conformance testing;
jitter;
peripheral interfaces;
production testing;
2.5 Gbit/s;
PCI-Express testing;
bounded random jitters;
data recovery circuit;
data-dependent jitters;
enhanced loop-back technique;
interface testing;
jitter tracking capabil;
31.
Low-capture-power test generation for scan-based at-speed testing
机译:
基于扫描的AT速度测试的低捕获功率测试生成
作者:
Xiaoqing Wen
;
Yamashita Y.
;
Morishima S.
;
Kajihara S.
;
Laung-Terng Wang
;
Saluja K.K.
;
Kinoshita K.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
boundary scan testing;
circuit switching;
logic testing;
ATPG;
IR drop;
circuit switching activity;
high power dissipation;
low-capture-power X-filling method;
low-capture-power test generation;
scan-based at-speed testing;
yield;
32.
Diagnosis framework for locating failed segments of path delay faults
机译:
用于定位路径延迟故障段失败的诊断框架
作者:
Ying-Yen Chen
;
Min-Pin Kuo
;
Jing-Jia Liou
会议名称:
《International Test Conference》
|
2005年
关键词:
VLSI;
circuit optimisation;
delays;
fault diagnosis;
integrated circuit testing;
linear programming;
VLSI circuit;
circuit path delays;
diagnosis tools;
faulty segments;
linear constraints;
linear programming;
path delay faults;
segment delays;
33.
Test and debug features of the RTO7 chip
机译:
RTO7芯片的测试和调试功能
作者:
van Kaam K.
;
Vermeulen B.
;
Bergveld H.J.
会议名称:
《International Test Conference》
|
2005年
关键词:
Bluetooth;
design for testability;
integrated circuit testing;
radio links;
radiofrequency integrated circuits;
Bluetooth-like radio communication;
Philips RTO7 chip;
debug hardware;
design-for-debug;
design-for-testability;
digital demodulation;
digital test syste;
34.
Data-driven models for statistical testing: measurements, estimates and residuals
机译:
用于统计测试的数据驱动模型:测量,估计和残差
作者:
Daasch W.R.
;
Madge R.
会议名称:
《International Test Conference》
|
2005年
关键词:
integrated circuit testing;
production testing;
statistical analysis;
data-driven models;
deep-submicron semiconductor test data;
distribution variance;
healthy die estimates;
multivariate estimation;
outlier detection;
production data;
statistical testing;
test re;
35.
Jitter spectrum analysis using continuous time interval analyzer (CTIA)
机译:
抖动频谱分析使用连续时间间隔分析仪(CTIA)
作者:
Tabatabaei S.
;
Ben-Zeev F.
;
Farahmand T.
会议名称:
《International Test Conference》
|
2005年
关键词:
clocks;
correlation methods;
error statistics;
high-speed integrated circuits;
integrated circuit testing;
jitter;
sampling methods;
spectral analysers;
BER testing;
anti-aliasing feature;
autocorrelation technique;
clock signals testing;
continuous time interval an;
36.
Reconfigurable systems self-healing using mobile hardware agents
机译:
使用移动硬件代理商自我修复可重新配置的系统
作者:
Benso A.
;
Cilardo A.
;
Mazzocca N.
;
Miclea L.
;
Prinetto P.
;
Szilard E.
会议名称:
《International Test Conference》
|
2005年
关键词:
computational complexity;
computer equipment testing;
mobile agents;
real-time systems;
ubiquitous computing;
digital systems;
digitally-aware environments;
mobile data agents;
mobile hardware agents;
reconfigurable systems;
wireless interface;
37.
A novel test methodology based on error-rate to support error-tolerance
机译:
基于误差率的新型测试方法,以支持耐堵塞
作者:
Kuen-Jong Lee
;
Tong-Yu Hsieh
;
Breuer M.A.
会议名称:
《International Test Conference》
|
2005年
关键词:
error detection;
fault diagnosis;
fault tolerance;
integrated circuit testing;
error-rate based test methodology;
error-rate estimation;
error-tolerance;
system error-rate;
test set reduction;
yield improvement;
38.
Testing and debugging delay faults in dynamic circuits
机译:
动态电路中的测试和调试延迟故障
作者:
Datta R.
;
Nassif S.
;
Montoye R.
;
Abraham J.A.
会议名称:
《International Test Conference》
|
2005年
关键词:
CMOS integrated circuits;
automatic test pattern generation;
boundary scan testing;
fault diagnosis;
integrated circuit testing;
logic testing;
AC tests;
circuit testing;
delay fault debugging;
delay fault testing;
delay test generation;
dynamic circuits;
reset phas;
39.
Simulation-based target test generation techniques for improving the robustness of a software-based-self-test methodology
机译:
基于仿真的目标测试生成技术,用于提高基于软件自我测试方法的鲁棒性
作者:
Wen C.H.-P.
;
Wang L.-C.
;
Kwang-Ting Cheng
;
Wei-Ting Liu
;
Ji-Jan Chen
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
automatic test software;
built-in self test;
fault simulation;
microprocessor chips;
object-oriented methods;
reduced instruction set computing;
Boolean module;
RISC pipelined microprocessor;
RTPG;
SBST;
TTPG;
arithmetic module;
fau;
40.
Is the concern for soft-error overblown?
机译:
是对软错误过度的关注吗?
作者:
Rajesh Raina
会议名称:
《International Test Conference》
|
2005年
关键词:
integrated circuit reliability;
radiation hardening (electronics);
IC soft-error detection;
radiation hardening;
41.
Reducing test cost through the use of digital testers for analog tests
机译:
通过使用数字测试仪进行模拟测试来降低测试成本
作者:
Sweeney J.
;
Tsefrekas A.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
design for testability;
integrated circuit testing;
mixed analogue-digital integrated circuits;
analog functions;
analog tests;
design for test;
digital ASIC designs;
digital testers;
extensive analog blocks;
mixed signal automated test e;
42.
The case for outsourcing DFT
机译:
外包DFT的案例
作者:
Jeffrey L. Roehr
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
43.
Jitter transformations in measurement instruments and discrepancies between measurement results
机译:
测量仪器中的抖动转换和测量结果之间的差异
作者:
Zamek I.
;
Zamek S.
会议名称:
《International Test Conference》
|
2005年
关键词:
electric noise measurement;
measurement errors;
network analysers;
oscilloscopes;
spectral analysis;
time-domain analysis;
timing jitter;
deterministic jitter;
jitter measurement;
jitter terms measurements;
jitter transformations;
measurement instruments;
random jit;
44.
Production test enhancement techniques for MB-OFDM ultra-wide band (UWB) devices: EVM and CCDf
机译:
用于MB-OFDM超宽带(UWB)设备的生产测试增强技术:EVM和CCDF
作者:
Bhattacharya S.
;
Senguttuvan R.
;
Chatterjee A.
会议名称:
《International Test Conference》
|
2005年
关键词:
IEEE standards;
OFDM modulation;
automatic test equipment;
production testing;
radio transmitters;
ultra wideband technology;
MB-OFDM;
PHY standards;
UWB transmitter;
local oscillator;
multiband orthogonal frequency division multiplexing;
phase noise;
production tes;
45.
Business constraints drive test decisions
机译:
业务约束驱动测试决策
作者:
Muradali F.
会议名称:
《International Test Conference》
|
2005年
关键词:
electronic equipment testing;
management science;
planning;
test facilities;
business constraints;
business guides;
industry executives;
supplier-customer influence;
test decisions;
test domain;
test-supply-chain;
46.
External memory BIST for system-in-package
机译:
外部存储器BIST为系统封装
作者:
Yamasaki K.
;
Suzuki I.
;
Kobayashi A.
;
Horie K.
;
Kobayashi Y.
;
Aoki H.
;
Hayashi H.
;
Tada K.
;
Tsutsumida K.
;
Higeta K.
会议名称:
《International Test Conference》
|
2005年
关键词:
DRAM chips;
SRAM chips;
automatic test pattern generation;
built-in self test;
flash memories;
microcontrollers;
system-in-package;
system-on-chip;
BIST method;
CPU address space;
IEEE 1149.1 JTAG TAP controller;
SDRAM testing;
SoC;
burn-in tester;
external memory BIS;
47.
Full-speed field-programmable memory BIST architecture
机译:
全速现场可编程内存BIST架构
作者:
Xiaogang Du
;
Mukherjee N.
;
Wu-Tung Cheng
;
Reddy S.M.
会议名称:
《International Test Conference》
|
2005年
关键词:
built-in self test;
failure analysis;
field programmable gate arrays;
memory architecture;
failure analysis;
field-programmable memory BIST architecture;
field-programmable memory BIST controller;
full-speed operation;
memory diagnosis;
memory testing;
nonlinear a;
48.
How are we going to test SoCs on a board? The users viewpoint
机译:
我们如何在董事会上测试SOC?用户观点
作者:
Gunnar Carlsson
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
49.
Using built-in self-test and adaptive recovery for defect tolerance in molecular electronics-based nanofabrics
机译:
基于分子电子基纳米制造的内置自测和自适应恢复
作者:
Zhanglei Wang
;
Chakrabarty K.
会议名称:
《International Test Conference》
|
2005年
关键词:
built-in self test;
fault tolerance;
molecular electronics;
nanoelectronics;
adaptive recovery;
built-in self-test;
chemically-assembled electronic nanotechnology;
connection faults;
defect tolerance;
fault detection configurations;
molecular electronics-based nan;
50.
Defect-oriented testing and diagnosis of digital microfluidics-based biochips
机译:
基于数字微流体的生物芯片的缺陷导向测试和诊断
作者:
Fei Su
;
Hwang W.
;
Mukherjee A.
;
Chakrabarty K.
会议名称:
《International Test Conference》
|
2005年
关键词:
biological techniques;
biotechnology;
electronic equipment testing;
fault diagnosis;
microfluidics;
catastrophic fault detection;
defect-oriented diagnosis;
defect-oriented testing;
digital microfluidics-based biochips;
electrode-short faults;
off-line test techni;
51.
How are we going to test SoC's on a PCB?
机译:
我们如何在PCB上测试SOC?
作者:
Jim Webster
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
52.
Towards achieving relentless reliability gains in a server marketplace of teraflops, laptops, kilowatts, and 'cost, cost, cost'...: making peace between a black art and the bottom line
机译:
在Teraflops,笔记本电脑,千瓦和“成本,成本,成本”的服务器市场中实现无情的可靠性收益......:在黑色艺术和底线之间建立和平
作者:
Van Horn J.
会议名称:
《International Test Conference》
|
2005年
关键词:
integrated circuit reliability;
laptop computers;
microcomputers;
parallel machines;
production testing;
quality assurance;
end2end reliability;
legacy processes;
marketplace Qamp;
R expectations;
revolutionary technology;
server class;
system integration;
system reliab;
53.
Power-scan chain: design for analog testability
机译:
电源扫描链:模拟可测试性设计
作者:
Zjajo A.
;
Bergveld H.J.
;
Schuttert R.
;
de Gyvez J.P.
会议名称:
《International Test Conference》
|
2005年
关键词:
analogue integrated circuits;
design for testability;
integrated circuit testing;
system-on-chip;
analog SoC;
analog circuits;
current signatures;
design for analog testability;
design for testability;
power-scan chain;
signature-based testing;
voltage signatures;
54.
Test time reduction of successive approximation register A/D converter by selective code measurement
机译:
通过选择性代码测量测试连续近似寄存器A / D转换器的时间减小
作者:
Goyal S.
;
Chatterjee A.
;
Atia M.
;
Iglehart H.
;
Chung Yu Chen
;
Shenouda B.
;
Khouzam N.
;
Haggag H.
会议名称:
《International Test Conference》
|
2005年
关键词:
analogue-digital conversion;
logic testing;
A/D converter;
code widths;
data conversion rate;
piecewise linear ramp;
selective code measurement;
static linearity test time;
successive approximation register;
test information;
test time reduction;
55.
Node sensitivity analysis for soft errors in CMOS logic
机译:
CMOS逻辑软误差的节点灵敏度分析
作者:
Gill B.S.
;
Papachristou C.
;
Wolff F.G.
;
Seifert N.
会议名称:
《International Test Conference》
|
2005年
关键词:
CMOS logic circuits;
automatic test pattern generation;
errors;
fuzzy logic;
logic testing;
sensitivity analysis;
100 nm;
CMOS logic circuits;
ISCAS85 benchmark circuits;
Spice simulations;
automatic test pattern generation;
electrical masking computing;
inverse pul;
56.
BUSINESS CONSTRAINTS DRIVE TEST DECISIONS
机译:
业务约束驱动测试决策
作者:
Paul Domino
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
57.
Gate exhaustive testing
机译:
门详尽测试
作者:
Kyoung Youn Cho
;
Mitra S.
;
McCluskey E.J.
会议名称:
《International Test Conference》
|
2005年
关键词:
combinational circuits;
fault diagnosis;
logic gates;
logic testing;
N-detect test;
combinational circuit;
gate exhaustive testing;
gate response;
stuck-at faults;
test quality;
transition fault test;
58.
Safely backdriving low voltage devices at in-circuit test
机译:
安全地反击在电路测试中的低压设备
作者:
Jacobsen C.
;
Saye T.
;
Trader T.
会议名称:
《International Test Conference》
|
2005年
关键词:
integrated circuit testing;
logic testing;
low-power electronics;
backdrive current;
failure mechanisms;
in-circuit digital testing;
low voltage devices;
opposite logic level;
physical device parameters;
predictive method;
59.
Compression mode diagnosis enables high volume monitoring diagnosis flow
机译:
压缩模式诊断可实现大量监测诊断流程
作者:
Leininger A.
;
Muhmenthaler P.
;
Wu-Tung Cheng
;
Tamarapalli N.
;
Wu Yang
;
Hans Tsai
会议名称:
《International Test Conference》
|
2005年
关键词:
CMOS integrated circuits;
automatic test equipment;
automatic test pattern generation;
boundary scan testing;
failure analysis;
fault diagnosis;
integrated circuit testing;
statistical analysis;
system-on-chip;
130 nm;
CMOS technology;
Infineon Technologies;
compres;
60.
Hierarchical DFT with enhancements for AC scan, test scheduling and on-chip compression - a case study
机译:
具有AC扫描,测试调度和片上压缩的分层DFT - 一种案例研究
作者:
Remmers J.
;
Lee D.
;
Fisette R.
会议名称:
《International Test Conference》
|
2005年
关键词:
design for testability;
integrated circuit design;
production testing;
scheduling;
system-on-chip;
AC scan;
at-speed testing;
economic issues;
hierarchical DFT;
large ASIC designs;
on-chip compression;
pattern generation;
production design;
test scheduling;
transition;
61.
Is The Concern For Soft-Error Overblown?
机译:
是对软错误过度的关注吗?
作者:
Rajesh Galivanche
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
62.
The effects of defects on high-speed boards
机译:
缺陷对高速板的影响
作者:
Parker K.P.
会议名称:
《International Test Conference》
|
2005年
关键词:
printed circuit testing;
high-speed boards defects;
printed circuit boards;
63.
Test generation for ultra-high-speed asynchronous pipelines
机译:
超高速异步管道测试生成
作者:
Feng Shi
;
Yiorgos Makris
;
Nowick S.M.
;
Singh M.
会议名称:
《International Test Conference》
|
2005年
关键词:
asynchronous circuits;
automatic test pattern generation;
fault diagnosis;
flip-flops;
logic testing;
pipeline processing;
MOUSETRAP pipeline;
asynchronous circuit design;
delay faults;
delay-insensitive micro-pipelines;
fine-grain pipeline stages;
handshaking prot;
64.
A self-timed structural test methodology for timing anomalies due to defects and process variations
机译:
由于缺陷和过程变化导致时序异常的自定时结构试验方法
作者:
Singh A.D.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
boundary scan testing;
delays;
fault diagnosis;
integrated circuit testing;
logic circuits;
logic testing;
circuit testing;
delay test;
delay testing;
processes variations;
relative switching time;
scan chains;
self-timed structural;
65.
A wideband low-noise ATE-based method for measuring jitter in GHz signals
机译:
基于宽带低噪声ate的GHz信号测量抖动方法
作者:
Yamaguchi T.J.
;
Ishida M.
;
Soma M.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
jitter;
automatic test equipment;
frequency-shifting;
jitter measurement;
wideband /spl Delta//spl Phi/ method;
66.
Variance Reduction and Outliers: Statistical Analysis of Semiconductor Test Data
机译:
差异减少和异常值:半导体测试数据的统计分析
作者:
W. Robert Daasch
;
Robert Madge
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
67.
High Speed Differential Pin Electronics over 6.4Gbps
机译:
高速差动销电子超过6.4Gbps
作者:
Atsushi Ohshima
;
Toshihiro Nomura
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
68.
Impact of Back Side Circuit Edit on Active Device Performance in Bulk Silicon ICs
机译:
背面电路编辑对散装硅IC中的主动设备性能的影响
作者:
C. Boit
;
U. Kerst
;
R. Schlangen
;
A. Kabakow
;
E. Le Roy
;
T.R. Lundquist
;
S. Pauthner
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
69.
Testing Throughput Computing Interconnect Topologies with Tbits/sec Bandwidth in Manufacturing and in Field
机译:
测试吞吐量计算互连拓扑与Tbits / Sec带宽在制造和字段中
作者:
Ishwar Parulkar
;
Dawei Huang
;
Leandro Chua
;
Drew Doblar
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
70.
Simulation of transients caused by single-event upsets in combinational logic
机译:
组合逻辑中的单事件upsets引起的瞬态模拟
作者:
Kartik Mohanram
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
71.
Enabling Yield Analysis with X-Compact
机译:
通过X-Compact实现产量分析
作者:
Zoran Stanojevic
;
Ruifeng Guo
;
Subhasish Mitra
;
Srikanth Venkataraman
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
72.
CMOS High-Speed, High-Precision Timing Generator for 4.266-Gbps Memory Test System
机译:
CMOS高速,高精度定时发生器,适用于4.266-Gbps内存测试系统
作者:
Masakatsu Suda
;
Kazuhiro Yamamoto
;
Toshiyuki Okayasu
;
Shusuke Kantake
;
Satoshi Sudou
;
Daisuke Watanabe
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
73.
Hierarchical DFT with Enhancements for AC Scan, Test Scheduling and On-chip Compression - A Case Study
机译:
具有AC扫描,测试调度和片上压缩的分层DFT - 一种案例研究
作者:
Jeffrey Remmers
;
Darin Lee
;
Richard Fisette
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
74.
A Low Power and Low Cost Scan Test Architecture for Multi-Clock Domain SoCs using Virtual Divide and Conquer
机译:
使用虚拟划分和征服多时钟域SoC的低功耗和低成本扫描测试架构
作者:
Senthil Arasu
;
C.P. Ravikumar
;
S.K. Nandy
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
关键词:
Scan Architecture;
Multiple Clock Domains;
Partitioning;
Test Power;
Test Cost;
75.
Node Sensitivity Analysis for Soft Errors in CMOS Logic
机译:
CMOS逻辑软误差的节点灵敏度分析
作者:
Balkaran S. Gill
;
Chris Papachristou
;
Francis G. Wolff
;
Norbert Seifert
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
76.
Column Parity and Row Selection (CPRS): A BIST Diagnosis Technique for Multiple Errors in Multiple Scan Chains
机译:
列奇偶校验和行选择(CPRS):多扫描链中多个误差的BIST诊断技术
作者:
Hung-Mao Lin
;
James C.-M. Li
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
77.
Functional vs. Multi-VDD Testing of RF Circuits
机译:
rf电路的功能与多VDD测试
作者:
Estella Silva
;
Jose Pineda de Gyvez
;
Guido Gronthoud
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
78.
Test Methodology for Freescale's High Performance e600 Core Based on PowerPC Instruction Set Architecture
机译:
基于PowerPC指令集架构的飞思卡尔高性能E600核的试验方法
作者:
Nandu Tendolkar
;
Dawit Belete
;
Ashu Razdan
;
Hereman Reyes
;
Bill Schwarz
;
Marie Sullivan
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
79.
Forming N-Detection Test Sets from One-Detection Test Sets Without Test Generation
机译:
从一个检测测试集形成n检测测试集,没有测试生成
作者:
Irith Pomeranz
;
Sudhakar M. Reddy
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
80.
X-filter: Filtering unknowns from compacted test responses
机译:
X-Filter:从压缩的测试响应中过滤未知数
作者:
Manish Sharma
;
Wu-Tung Cheng
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
81.
A SCALABLE TEST STRATEGY FOR NETWORK-ON-CHIP ROUTERS
机译:
用于网络上路由器的可扩展测试策略
作者:
Alexandre M. Amory
;
Eduardo Briao
;
Erika Cota
;
Marcelo Lubaszewski
;
Fernando G. Moraes
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
82.
An Optimal Test Pattern Selection Method to Improve the Defect Coverage
机译:
一种改善缺陷覆盖的最佳测试模式选择方法
作者:
Yuxin Tian
;
Michael R. Grimaila
;
Weiping Shi
;
M. Ray Mercer
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
83.
MULTIPLE TESTS FOR EACH GATE DELAY FAULT: HIGHER COVERAGE AND LOWER TEST APPLICATION COST
机译:
每个闸门延迟故障的多次测试:较高的覆盖率和更低的测试施用成本
作者:
Shahdad Irajpour
;
Sandeep K. Gupta
;
Melvin A. Breuer
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
84.
TESTABILITY FEATURES OF THE FIRST-GENERATION CELL PROCESSOR
机译:
第一代电池处理器的可测试性能
作者:
Mack Riley
;
Louis Bushard
;
Nathan Chelstrom
;
Naoki Kiryu
;
Steven Ferguson
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
85.
REDUCING TEST COST THROUGH THE USE OF DIGITAL TESTERS FOR ANALOG TESTS
机译:
通过使用数字测试仪进行模拟测试来降低测试成本
作者:
John Sweeney
;
Alan Tsefrekas
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
86.
Evaluating ATE-Equipment for Volume Diagnosis
机译:
评估储存型诊断设备
作者:
Ralf Arnold
;
Andreas Leininger
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
87.
Full-Speed Field-Programmable Memory BIST Architecture
机译:
全速现场可编程内存BIST架构
作者:
Xiaogang Du
;
Nilanjan Mukherjee
;
Wu-Tung Cheng
;
Sudhakar M. Reddy
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
88.
Reconfigurable Systems Self-Healing using Mobile Hardware Agents
机译:
使用移动硬件代理商自我修复可重新配置的系统
作者:
A. Benso
;
A. Cilardo
;
N. Mazzocca
;
L. Miclea
;
P. Prinetto
;
E. Szilard
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
89.
IDENTIFICATION OF SYSTEMATIC YIELD LIMITERS IN COMPLEX ASICS THROUGH VOLUME STRUCTURAL TEST FAIL DATA VISUALIZATION AND ANALYSIS
机译:
通过体积结构试验失败数据可视化和分析识别复杂的asics中系统产量限制器的识别
作者:
C. Schuermyer
;
K. Cota
;
R. Madge
;
B. Benware
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
90.
Invisible Delay Quality - SDQM Model Lights Up What Could Not Be Seen
机译:
看不见的延迟质量 - SDQM模型亮起无法看到的内容
作者:
Yasuo Sato
;
Shuji Hamada
;
Toshiyuki Maeda
;
Atsuo Takatori
;
Yasuyuki Nozuyama
;
Seiji Kajihara
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
91.
Chasing Subtle Embedded RAM Defects for Nanometer Technologies
机译:
追逐纳米技术的微妙嵌入式压力缺陷
作者:
Theo Powell
;
Amrendra Kumar
;
Joseph Rayhawk
;
Nilanjan Mukherjee
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
92.
A Novel Stuck-At Based Method for Transistor Stuck-Open Fault Diagnosis
机译:
基于新的晶体管粘滞 - 开放故障诊断方法
作者:
Xinyue Fan
;
Will Moore
;
Camelia Hora
;
Guido Gronthoud
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
关键词:
fault diagnosis;
stuck-open fault;
digital circuit;
93.
A Random Access Scan Architecture to Reduce Hardware Overhead
机译:
随机访问扫描架构,可减少硬件开销
作者:
Anand S. Mudlapur
;
Vishwani D. Agrawal
;
Adit D. Singh
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
94.
An Advanced Optical Diagnostic Technique of IBM z990 eServer Microprocessor
机译:
IBM Z990 Eserver微处理器的高级光学诊断技术
作者:
Peilin Song
;
Franco Stellari
;
Bill Huott
;
Otto Wagner
;
Uma Srinivasan
;
Yuen Chan
;
Rick Rizzolo
;
HJ Nam
;
Jim Eckhardt
;
Tim McNamara
;
Ching-Lung Tong
;
Alan Weger
;
Moyra McManus
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
95.
Bead Probes in Practice
机译:
珠探针在实践中
作者:
Kenneth P. Parker
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
96.
Defect-Based RF Testing Using a New Catastrophic Fault Model
机译:
基于缺陷的RF测试使用新的灾难性故障模型
作者:
Erkan Acar
;
Sule Ozev
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
97.
Use of MISRs for Compression and Diagnostics
机译:
使用MISR进行压缩和诊断
作者:
Brion Keller
;
Thomas Bartenstein
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
98.
A Novel Test Methodology Based on Error-Rate to Support Error-Tolerance
机译:
基于误差率的新型测试方法,以支持耐堵塞
作者:
Kuen-Jong Lee
;
Tong-Yu Hsieh
;
Melvin A. Breuer
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
99.
A Test Case for 3Gbps Serial Attached SCSI (SAS)
机译:
3Gbps串行连接SCSI的测试用例(SAS)
作者:
Y. Cai
;
L. Fang
;
R. Ratemo
;
J. Liu
;
K. Gross
;
M. Kozma
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
100.
PARALLEL, MULTI-DUT TESTING IN AN OPEN ARCHITECTURE TEST SYSTEM
机译:
开放式架构测试系统中并行,多DUT测试
作者:
Toshiaki Adachi
;
Ankan Pramanick
;
Mark Elston
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
上一页
3
4
5
6
7
8
9
10
11
12
13
下一页
意见反馈
回到顶部
回到首页