掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Test Conference
International Test Conference
召开年:
2019
召开地:
Washington(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
3426
条结果
1.
How Are We Going to Test SOC's on a Board?
机译:
我们将如何在董事会上测试SOC?
作者:
Michael J Smith
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
2.
Use of MISRs for compression and diagnostics
机译:
使用MISR进行压缩和诊断
作者:
Keller B.
;
Bartenstein T.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
automatic test pattern generation;
data compression;
fault diagnosis;
compacted MISR;
compacted continuous stream;
failure diagnosis;
no-go failure test;
response compression;
3.
Off-Shore Outsource DFT vs. Build Off-Shore Branch Offices
机译:
离岸外包DFT与建造离岸分公司
作者:
Yu Huang
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
4.
Programmable memory BIST
机译:
可编程内存
作者:
Boutobza S.
;
Nicolaidis M.
;
Lamara K.M.
;
Costa A.
会议名称:
《International Test Conference》
|
2005年
关键词:
built-in self test;
digital storage;
embedded systems;
system-on-chip;
SoC embedded memories;
programmable BIST approach;
programmable memory BIST;
test algorithms;
5.
Built-in constraint resolution
机译:
内置约束分辨率
作者:
Giles G.
;
Irby J.
;
Toneva D.
;
Kun-Han Tsai
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
built-in self test;
logic testing;
ATPG constraints;
built-in constraint resolution;
one-hot muxes;
scan circuit;
tristate busses;
tristate contention;
6.
Comparative study of CA with phase shifters and GLFSRs
机译:
相移液相机和GLFSR的CA比较研究
作者:
Chidambaram S.
;
Kagaris D.
;
Pradhan D.K.
会议名称:
《International Test Conference》
|
2005年
关键词:
Galois fields;
automatic test pattern generation;
built-in self test;
cellular automata;
logic testing;
phase shifters;
BIST schemes;
CAPS;
Galois LFSR;
XOR gate;
cellular automata;
fault coverage;
hardware cost;
inter-channel separation;
linear feedback shift registe;
7.
An update on IEEE 1149.6 - successes and issues
机译:
IEEE 1149.6的更新 - 成功和问题
作者:
Eklow B.
会议名称:
《International Test Conference》
|
2005年
关键词:
IEEE standards;
boundary scan testing;
integrated circuit testing;
IEEE 1149.6 standard;
hardware tools;
software tools;
8.
Lowering the cost of test with a scalable ATE custom processor and timing IC containing 400 high-linearity timing verniers
机译:
通过可伸缩的ATE定制处理器和时序IC降低测试成本,包含400个高线性定时游标
作者:
Arkin B.
会议名称:
《International Test Conference》
|
2005年
关键词:
application specific integrated circuits;
automatic test equipment;
automatic test pattern generation;
low-power electronics;
microprocessor chips;
timing circuits;
Omni ASIC;
automatic test equipment;
high-linearity timing verniers;
low-power timing verniers;
pat;
9.
A novel stuck-at based method for transistor stuck-open fault diagnosis
机译:
基于新的晶体管粘滞 - 开放故障诊断方法
作者:
Xinyue Fan
;
Moore W.
;
Hora C.
;
Gronthoud G.
会议名称:
《International Test Conference》
|
2005年
关键词:
fault diagnosis;
logic testing;
digital circuit;
fault models;
gate level description;
stuck-at faults;
stuck-open fault diagnosis;
transistor level circuit description;
10.
Correct by Construction is Guaranteed to Fail
机译:
通过施工来正确保证失败
作者:
Stephen Sunter
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
11.
Automated mapping of pre-computed module-level test sequences to processor instructions
机译:
预先计算的模块级测试序列的自动映射到处理器指令
作者:
Guramurthy S.
;
Vasudevan S.
;
Abraham J.A.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
fault simulation;
integrated circuit testing;
logic testing;
microprocessor chips;
automated mapping;
bounded model checker;
defect coverage;
instruction sequence;
module-level test sequences;
pre-computed test sequences;
processo;
12.
The ITC TEST COMPRESSION SHOOTOUT
机译:
ITC测试压缩枪战
作者:
Scott Davidson
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
13.
A leakage control system for thermal stability during burn-in test
机译:
燃烧试验期间热稳定性泄漏控制系统
作者:
Meterelliyoz M.
;
Mahmoodi H.
;
Roy K.
会议名称:
《International Test Conference》
|
2005年
关键词:
CMOS integrated circuits;
integrated circuit testing;
leakage currents;
thermal stability;
CMOS circuits;
ambient temperature;
burn-in testing;
integrated leakage control system;
leakage current;
positive feedback;
stressed temperature;
stressed voltage;
thermal run;
14.
Effect of lead free solders on in-circuit test process
机译:
无铅焊料对电路式测试过程的影响
作者:
Reinosa R.D.
会议名称:
《International Test Conference》
|
2005年
关键词:
hazardous materials;
printed circuit manufacture;
printed circuit testing;
probes;
production testing;
solders;
OEM;
PCA;
RoHS;
contact repeatability performance;
electronic equipment;
in-circuit test process;
lead free manufacturing;
lead free materials;
lead free so;
15.
Synthesis of nonintrusive concurrent error detection using an even error detecting function
机译:
使用偶数误差检测函数来合成非流体并发错误检测
作者:
Dutta A.
;
Touba N.A.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
error detection;
logic testing;
sampling methods;
even error detecting function;
even error detection circuitry;
nonintrusive CED circuitry;
nonintrusive concurrent error detection circuitry;
single-bit parity;
soft error protection;
stat;
16.
UltraScan: using time-division demultiplexing/multiplexing (TDDM/TDM) with VirtualScan for test cost reduction
机译:
UltraScan:使用具有VirtualScan的时间分割多路分解/多路复用(TDDM / TDM)进行测试成本降低
作者:
Laung-Terng Wang
;
Abdel-Hafez K.S.
;
Xiaoqing Wen
;
Sheu B.
;
Shianling Wu
;
Shyh-Horng Lin
;
Ming-Tung Chang
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
demultiplexing;
integrated circuit testing;
time division multiplexing;
virtual instrumentation;
UltraScan system;
VirtualScan compression circuitry;
high-data-rate scan patterns;
low-data-rate scan patterns;
test application ti;
17.
A methodology for testing one-hot transmission gate multiplexers
机译:
一种测试单热传输门多路复用器的方法
作者:
McLaurin T.L.
;
Frederick F.
;
Slobodnik R.
会议名称:
《International Test Conference》
|
2005年
关键词:
multiplexing equipment;
telecommunication equipment testing;
T-gate multiplexers;
high quality test;
one-hot transmission gate multiplexers;
18.
Progressive random access scan: a simultaneous solution to test power, test data volume and test time
机译:
渐进随机访问扫描:测试电源,测试数据量和测试时间的同时解决方案
作者:
Dong Hyun Baik
;
Saluja K.K.
会议名称:
《International Test Conference》
|
2005年
关键词:
boundary scan testing;
design for testability;
integrated circuit testing;
travelling salesman problems;
VLSI circuit testing;
design for testability;
progressive random access scan;
serial scan test architecture;
test application time;
test data volume reduction;
19.
Simulation of transients caused by single-event upsets in combinational logic
机译:
组合逻辑中的单事件upsets引起的瞬态模拟
作者:
Mohanram K.
会议名称:
《International Test Conference》
|
2005年
关键词:
RC circuits;
combinational circuits;
fault simulation;
logic testing;
radiation effects;
transient analysis;
SEU;
combinational logic circuits;
linear RC models;
logic gates;
particle strike;
single-event upset;
transient simulation;
20.
A comprehensive production test solution for 1.5Gb/s and 3Gb/s serial-ATA - based on AWG and undersampling techniques
机译:
1.5GB / s和3GB / s串行ATA的综合生产测试解决方案 - 基于AWG和欠采样技术
作者:
Cai Y.
;
Bhattacharyya A.
;
Martone J.
;
Verma A.
;
Burchanowski W.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
computer testing;
fault tolerance;
hard discs;
jitter;
peripheral interfaces;
production testing;
sampling methods;
1.5 Gbit/s;
3 Gbit/s;
ATE;
AWG;
SAS;
SOC;
advanced tests;
aerial-attached SCSI;
computer hard drives;
fiber channel;
frequency dom;
21.
The concern for soft errors is not overblown
机译:
对软错误的关注并不夸张
作者:
Sanda P.N.
会议名称:
《International Test Conference》
|
2005年
关键词:
CMOS integrated circuits;
combinational circuits;
digital integrated circuits;
radiation hardening (electronics);
sequential circuits;
CMOS technology;
combinatorial circuit;
logic circuits;
sequential circuits;
single event upset;
soft errors;
transient events;
22.
Is the concern for soft-error overblown?
机译:
是对软错误过度的关注吗?
作者:
Kundu
会议名称:
《International Test Conference》
|
2005年
关键词:
integrated circuit reliability;
radiation hardening (electronics);
ionizing radiation;
soft-errors;
transient faults;
transistor feature size scaling;
23.
Verifying flying prober performance - fitness is survival
机译:
验证飞行概率性能 - 健身是生存
作者:
Russell B.
会议名称:
《International Test Conference》
|
2005年
关键词:
printed circuit testing;
probes;
damage-free probing;
flying prober testing;
24.
Bead probes in practice
机译:
珠探针在实践中
作者:
Parker K.P.
会议名称:
《International Test Conference》
|
2005年
关键词:
printed circuit testing;
probes;
test equipment;
bead probes;
high density printed circuit boards;
in-circuit test;
25.
X-filter: filtering unknowns from compacted test responses
机译:
X-Filter:从压缩的测试响应中过滤未知数
作者:
Manish Sharma
;
Wu-Tung Cheng
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
error correction codes;
filtering theory;
ATE support;
X-filter;
compacted test responses;
error correcting code;
error detection;
error diagnosis;
off-the-shelf error correcting codes;
test response postprocessing;
26.
Test methodology for Freescale's high performance e600 core based on PowerPC/spl reg/ instruction set architecture
机译:
基于PowerPC / SPL REG /指令集架构的飞思卡尔高性能E600核的试验方法
作者:
Tendolkar N.
;
Belete D.
;
Razdan A.
;
Reyes H.
;
Schwarz B.
;
Sullivan M.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
boundary scan testing;
built-in self test;
discrete Fourier transforms;
fault diagnosis;
instruction sets;
logic testing;
microprocessor chips;
system-on-chip;
DFT techniques;
PowerPC;
SoC;
delay fault detection;
delay-fault test pa;
27.
Identification of systematic yield limiters in complex ASICS through volume structural test fail data visualization and analysis
机译:
通过体积结构试验失败数据可视化和分析识别复杂的asics中系统产量限制器的识别
作者:
Schuermyer C.
;
Cota K.
;
Madge R.
;
Benware B.
会议名称:
《International Test Conference》
|
2005年
关键词:
application specific integrated circuits;
boundary scan testing;
data visualisation;
failure analysis;
flip-flops;
integrated circuit testing;
integrated circuit yield;
logic testing;
complex ASICS;
data analysis;
data visualization;
fail signatures;
process improve;
28.
Enhanced launch-off-capture transition fault testing
机译:
增强的发射关闭捕获过渡故障测试
作者:
Ahmed N.
;
Tehranipoor M.
;
Ravikumar C.P.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
automatic test pattern generation;
boundary scan testing;
fault simulation;
automatic test equipment;
functional path;
launch-off-capture transition fault testing;
local scan enable generator;
local scan enable signals;
scan chains;
scan;
29.
Computational intelligence based testing for semiconductor measurement systems
机译:
基于计算智能的半导体测量系统测试
作者:
Liau E.
;
Schmitt-Landsiedel D.
会议名称:
《International Test Conference》
|
2005年
关键词:
artificial intelligence;
automatic test pattern generation;
fault diagnosis;
genetic algorithms;
neural nets;
software architecture;
ATE;
DUT;
artificial intelligence technologies;
computational intelligence;
device under test;
failure diagnosis;
fault prediction;
ge;
30.
Testability features of the first-generation CELL processor
机译:
第一代电池处理器的可测试性能
作者:
Riley M.
;
Bushard L.
;
Chelstrom N.
;
Kiryu N.
;
Ferguson S.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
boundary scan testing;
built-in self test;
clocks;
logic testing;
microprocessor chips;
CELL design;
custom design elements;
first generation CELL processor;
modular design point;
multigigahertz asynchronous clock domains;
multipl;
31.
A new approach for massive parallel scan design
机译:
一种新的平行扫描设计方法
作者:
Woo Cheol Chung
;
Ha D.S.
会议名称:
《International Test Conference》
|
2005年
关键词:
boundary scan testing;
code division multiple access;
integrated circuit design;
integrated circuit testing;
interference suppression;
power electronics;
SPICE simulations;
channel capacity;
direct sequence-code division multiple access;
on-chip power lines;
paral;
32.
Test connections - tying application to process
机译:
测试连接 - 将应用程序绑定到处理
作者:
Carulli J.M. Jr.
;
Anderson T.J.
会议名称:
《International Test Conference》
|
2005年
关键词:
customer satisfaction;
production testing;
quality assurance;
reliability;
customer applications;
quality requirement;
reliability requirements;
test connections;
33.
Definition of a robust modular SOC test architecture; resurrection of the single TAM daisy-chain
机译:
鲁棒模块化SoC测试架构的定义;单打Tam雏菊链的复活
作者:
Waayers T.
;
Morren R.
;
Grandi R.
会议名称:
《International Test Conference》
|
2005年
关键词:
IEEE standards;
boundary scan testing;
embedded systems;
integrated circuit design;
integrated circuit testing;
logic testing;
silicon;
system-on-chip;
IEEE 1500 standards;
Si;
compliant test wrapper;
embedded modules;
intellectual property cores;
scan test access;
sy;
34.
A low power and low cost scan test architecture for multi-clock domain SoCs using virtual divide and conquer
机译:
使用虚拟划分和征服多时钟域SoC的低功耗和低成本扫描测试架构
作者:
Arasu S.T.
;
Ravikumar C.P.
;
Nandy S.K.
会议名称:
《International Test Conference》
|
2005年
关键词:
boundary scan testing;
divide and conquer methods;
logic partitioning;
low-power electronics;
system-on-chip;
multiclock domain;
partitioning technique;
scan test architecture;
system-on-chip;
test application time;
virtual divide and conquer;
35.
Forming N-detection test sets from one-detection test sets without test generation
机译:
从一个检测测试集形成n检测测试集,没有测试生成
作者:
Pomeranz I.
;
Reddy S.M.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
fault simulation;
logic testing;
N-detection test sets;
fault simulation;
one-detection test sets;
target faults;
test cubes;
test generation;
36.
The value of statistical testing for quality, yield and test cost improvement
机译:
质量,产量和测试成本改进的统计测试的价值
作者:
Madge R.
;
Benware B.
;
Ward M.
;
Daasch R.
会议名称:
《International Test Conference》
|
2005年
关键词:
cost reduction;
integrated circuit testing;
integrated circuit yield;
production testing;
statistical analysis;
IC manufacturing;
adaptive test;
burn-in reduction;
quality improvement;
semiconductor test data;
statistical testing;
test cost improvement;
yield improv;
37.
Analysis of error-masking and X-masking probabilities for convolutional compactors
机译:
卷积掩模误差和X掩模概率的分析
作者:
Arai M.
;
Fukumoto S.
;
Iwasaki K.
会议名称:
《International Test Conference》
|
2005年
关键词:
built-in self test;
data compression;
error handling;
integrated circuit testing;
logic testing;
probability;
Monte-Carlo simulations;
X-masking probability;
binary linear error-correcting codes;
convolutional compactors;
error-masking probability;
numerical exampl;
38.
How are we going to test SoC's on a PCB
机译:
我们如何在PCB上测试SOC
作者:
Peter Collins
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
39.
Test The Test Experts: Do We Know What We Are Doing?
机译:
测试测试专家:我们知道我们在做什么吗?
作者:
Rohit Kapur
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
40.
High speed differential pin electronics over 6.4 Gbps
机译:
高速差动销电子超过6.4 Gbps
作者:
Ohshima A.
;
Nomura T.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
comparators (circuits);
driver circuits;
high-speed integrated circuits;
integrated circuit testing;
6.4 Gbit/s;
ATE system;
DUT system;
PEIC;
automatic test equipment;
device under test;
differential comparator;
differential driver;
differe;
41.
IJTAG (internal JTAG): a step toward a DFT standard
机译:
IJTAG(内部JTAG):迈出DFT标准的一步
作者:
Rearick J.
;
Eklow B.
;
Posse K.
;
Crouch A.
;
Bennetts B.
会议名称:
《International Test Conference》
|
2005年
关键词:
IEEE standards;
boundary scan testing;
design for testability;
DFT standard;
IEEE 1149.1;
ad hoc IJTAG;
boundary scan standards;
boundary scan test;
design for testability;
device-internal test features;
internal JTAG;
internal test protocols;
standard description;
s;
42.
Low cost multisite testing of quadruple band GSM transceivers
机译:
高成本多路频段GSM收发器的多高测试
作者:
Zhang L.
;
Heaton D.
;
Largey H.
会议名称:
《International Test Conference》
|
2005年
关键词:
cellular radio;
production testing;
telecommunication equipment testing;
transceivers;
Gaussian minimum shift keying;
frequency lock time;
frequency settle time tests;
mixed signal tester;
multisite testing;
noise figure tests;
parallel sites;
production testing;
qu;
43.
Business Constraints Drive Test Decisions
机译:
业务约束驱动测试决策
作者:
Fidel Muradali
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
44.
I/sub DDQ/ test using built-in current sensing of supply line voltage drop
机译:
I / SUB DDQ /测试使用电源线电压降的内置电流检测
作者:
Bin Xue
;
Walker D.M.H.
会议名称:
《International Test Conference》
|
2005年
关键词:
analogue-digital conversion;
built-in self test;
electric potential;
electric sensing devices;
integrated circuit testing;
182 muA;
350 nm;
BICS;
I/sub DDQ/ testing;
analog-to-digital conversion;
built-in current sensing;
built-in current sensor;
digital chopping;
in;
45.
The Final D-Frontier: Should DFT be Outsourced?
机译:
最终的D-Frentier:DFT应该外包吗?
作者:
Luis Basto
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
46.
Business Constraints Drive Test Decisions - not vice versa
机译:
业务约束驱动测试决策 - 不反之亦然
作者:
Sanjiv Taneja
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
47.
Technique to improve the performance of time-interleaved A-D converters
机译:
提高时间交错A-D转换器性能的技术
作者:
Asami K.
会议名称:
《International Test Conference》
|
2005年
关键词:
analogue-digital conversion;
clocks;
signal sampling;
waveform generators;
digital signal processing;
high-speed waveform digitizer;
interleaving;
multiple A-D converters;
phase error;
sampling clock;
time-interleaved A-D converters;
48.
How are we going to test SoCs on a board?: the users viewpoint
机译:
我们如何在板上测试SOC?:用户观点
作者:
Carisson G.
会议名称:
《International Test Conference》
|
2005年
关键词:
design for testability;
printed circuit testing;
system-on-chip;
DFT support;
SoC DFT architecture;
life cycle perspective;
on board testing;
test access;
test sequencing;
49.
'Driver on a floppy' delivery of ATE instrumentation software
机译:
“软盘上的驱动程序”提供ATE仪器软件
作者:
Proskauer D.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
floppy discs;
ATE instrumentation software;
automatic test equipment;
driver on a floppy delivery;
open architecture ATE systems;
50.
High-performance ADC linearity test using low-precision signals in non-stationary environments
机译:
高性能ADC线性测试在非静止环境中使用低精度信号
作者:
Le Jin
;
Parthasarathy K.
;
Kuyel T.
;
Geiger R.
;
Degang Chen
会议名称:
《International Test Conference》
|
2005年
关键词:
analogue-digital conversion;
built-in self test;
16 bit;
7 bit;
ADC linearity test;
ADC production test;
analog-to-digital converter;
built-in self-test;
low-precision signals;
nonstationary environments;
test-based self-calibration;
51.
Structural tests for jitter tolerance in SerDes receivers
机译:
Serdes接收器中抖动耐受性的结构测试
作者:
Sunter S.
;
Roy A.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
integrated circuit testing;
integrated circuit yield;
jitter;
receivers;
SerDes receivers;
clock;
deserializer IC;
failure diagnostis;
high frequency jitter measurement;
integrated circuit yield;
jitter tolerance;
multi-Gbps receiver;
produc;
52.
An advanced optical diagnostic technique of IBM z990 eServer microprocessor
机译:
IBM Z990 Eserver微处理器的高级光学诊断技术
作者:
Song P.
;
Stellari F.
;
Huott B.
;
Wagner O.
;
Srinivasan U.
;
Yuen Chan
;
Rizzolo R.
;
Nam H.J.
;
Eckhardt J.
;
McNamara T.
;
Ching-Lung Tong
;
Weger A.
;
McManus M.
会议名称:
《International Test Conference》
|
2005年
关键词:
VLSI;
boundary scan testing;
built-in self test;
design for testability;
fault diagnosis;
integrated circuit testing;
logic testing;
microprocessor chips;
IBM z990 eServer microprocessor;
design-for-diagnostics feature;
design-for-test feature;
high diagnostic reso;
53.
A strategy for board level in-system programmable built-in assisted test and built-in self test
机译:
董事会级别可编程内置辅助测试和内置自检策略
作者:
Ferry J.
;
Scesnak J.
;
Shaikh S.
会议名称:
《International Test Conference》
|
2005年
关键词:
boundary scan testing;
built-in self test;
field programmable gate arrays;
printed circuit testing;
FPGA;
board test;
built-in assisted test;
built-in self test;
field programmable gate arrays;
functional verification;
in-system programmable test;
test access;
test;
54.
Drive only at speed functional testing; one of the techniques Intel is using to control test costs
机译:
仅在速度功能测试时驱动;英特尔的一个技术用于控制测试成本
作者:
Tripp M.
;
Picano S.
;
Schnarch B.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
cost reduction;
microprocessor chips;
DFT circuits;
Intel;
automatic test equipment;
drive amp;
compare functional testing;
drive only speed functional testing;
high volume manufacturing;
test costs control;
55.
Noncontact wafer probe using wireless probe cards
机译:
使用无线探测卡非接触晶片探头
作者:
Sellathamby C.V.
;
Reja M.M.
;
Lin Fu
;
Bai B.
;
Reid E.
;
Slupsky S.H.
;
Filanovsky I.M.
;
Iniewski K.
会议名称:
《International Test Conference》
|
2005年
关键词:
CMOS integrated circuits;
automatic test equipment;
integrated circuit testing;
probes;
transceivers;
transmitting antennas;
ATE;
CMOS device;
active electronics;
alignment tolerance;
automated test equipment;
device under test;
integrated circuits;
micro antenna;
nea;
56.
Reducing High-Speed/RF Test Cost--Guaranteed by Design or Guaranteed to Fail?
机译:
降低高速/射频测试成本 - 通过设计保证或保证失败?
作者:
Mustapha Slamani
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
57.
Achieving Higher Yield Through Diagnosis
机译:
通过诊断实现更高的产量
作者:
Nagesh Tamarapalli
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
58.
Design and analysis of multiple weight linear compactors of responses containing unknown values
机译:
包含未知值的多重线性压实器的设计与分析
作者:
Clouqueur T.
;
Kamran Zarrineh
;
Saluja K.K.
;
Fujiwara H.
会议名称:
《International Test Conference》
|
2005年
关键词:
boundary scan testing;
logic testing;
linear test output compactors;
multiple weight linear compactors;
nonuniform distribution;
scan chains;
59.
XWRC: externally-loaded weighted random pattern testing for input test data compression
机译:
XWRC:输入测试数据压缩的外部加载加权随机图案测试
作者:
Seongmoon Wang
;
Balakrishnan K.J.
;
Chakradhar S.T.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
built-in self test;
data compression;
logic testing;
ATPG;
BIST;
LFSR;
XWRC testing;
externally-loaded weighted random pattern testing;
input test data compression;
test data storage;
test patterns;
weighted pseudorandom testing;
60.
Microprocessor silicon debug based on failure propagation tracing
机译:
基于故障传播跟踪的微处理器硅调试
作者:
Caty O.
;
Dahlgren P.
;
Bayraktaroglu I.
会议名称:
《International Test Conference》
|
2005年
关键词:
boundary scan testing;
integrated circuit testing;
microprocessor chips;
Si;
UltraSPARC;
backtracing methodology;
failure propagation tracing;
microprocessor silicon debug;
scan dump analysis;
time-to-market;
61.
Word line pulsing technique for stability fault detection in SRAM cells
机译:
SRAM单元中稳定性故障检测的字线脉冲技术
作者:
Pavlov A.
;
Azimane M.
;
de Gyvez J.P.
;
Sachdev M.
会议名称:
《International Test Conference》
|
2005年
关键词:
SRAM chips;
circuit stability;
design for testability;
fault diagnosis;
fault location;
integrated circuit reliability;
SRAM array;
SRAM cells;
data retention faults;
data retention test;
design for testability;
overwrite test stress;
programmable DFT technique;
stab;
62.
Testing priority address encoder faults of content addressable memories
机译:
测试优先级地址内容寻址存储器的编码器故障
作者:
Jin-Fu Li
会议名称:
《International Test Conference》
|
2005年
关键词:
built-in self test;
circuit testing;
content-addressable storage;
fault diagnosis;
logic testing;
BIST;
CAM cell array faults;
CAM testing;
CMOS priority encoder;
RAM cell faults;
RAM peripheral circuitry;
RAM testing;
SAF testing;
built in self test;
comparison fault;
63.
Diagnosis with convolutional compactors in presence of unknown states
机译:
在未知状态存在下与卷积压实器的诊断
作者:
Mrugalski G.
;
Pogiel A.
;
Rajski J.
;
Tyszer J.
会议名称:
《International Test Conference》
|
2005年
关键词:
boundary scan testing;
convolution;
fault diagnosis;
convolutional compactors;
nonadaptive fault diagnosis;
scan-based designs;
test response compaction;
unknown states;
64.
Definitions of jitter measurement terms and relationships
机译:
抖动测量条款和关系的定义
作者:
Zamek I.
;
Zamek S.
会议名称:
《International Test Conference》
|
2005年
关键词:
electric noise measurement;
jitter;
spectral analysis;
jitter characterization;
jitter conversions;
jitter measurement;
jitter specifications;
jitter spectrum;
jitters terms;
time domain measurement instruments;
65.
Chasing subtle embedded RAM defects for nanometer technologies
机译:
追逐纳米技术的微妙嵌入式压力缺陷
作者:
Powell T.
;
Kumar A.
;
Rayhawk J.
;
Mukherjee N.
会议名称:
《International Test Conference》
|
2005年
关键词:
built-in self test;
fault diagnosis;
logic testing;
nanotechnology;
random-access storage;
ADOF;
BIST controller;
address decode open faults;
defect coverage;
embedded RAM defects;
manufacturing defects;
nanometer technologies;
scan patterns;
standard March test;
writ;
66.
A 16-bit resistor string DAC with full-calibration at final test
机译:
一个16位电阻串DAC,在最终测试时具有全校准
作者:
Parthasarathy K.
;
Kuyel T.
;
Zhongjun Yu
;
Degang Chen
;
Geiger R.
会议名称:
《International Test Conference》
|
2005年
关键词:
CMOS integrated circuits;
calibration;
design for testability;
digital arithmetic;
digital-analogue conversion;
error correction;
resistors;
transfer functions;
0.5 micron;
10 mus;
16 bit;
5 V;
750 muA;
CMOS process;
DAC channel;
DNL performance;
built-in DFT;
differenti;
67.
Test implications of lead-free implementation in a high-volume manufacturing environment
机译:
在大批量生产环境中无铅实施的测试含义
作者:
Shu Peng
;
Sam Wong
会议名称:
《International Test Conference》
|
2005年
关键词:
assembling;
inspection;
printed circuit manufacture;
printed circuit testing;
automatic X-ray inspection;
defect spectrum;
high-volume manufacturing environment;
lead free environment;
lead free testing;
printed circuit board assembly;
tin lead;
volume production e;
68.
Invisible delay quality - SDQM model lights up what could not be seen
机译:
看不见的延迟质量 - SDQM模型亮起无法看到的内容
作者:
Sato Y.
;
Hamada S.
;
Maeda T.
;
Takatori A.
;
Nozuyama Y.
;
Kajihara S.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
circuit analysis computing;
delay estimation;
fault simulation;
integrated circuit testing;
logic testing;
statistical analysis;
SDF information;
automatic test program generation tool;
defect level;
delay testing quality;
design;
69.
Compressed pattern diagnosis for scan chain failures
机译:
扫描链故障的压缩模式诊断
作者:
Yu Huang
;
Wu-Tung Cheng
;
Rajski J.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
boundary scan testing;
data compression;
fault diagnosis;
logic testing;
ATE;
chain diagnosis resolution;
compressed pattern diagnosis;
embedded compression;
scan chain fault diagnosis;
70.
Diagnosis and analysis of an analog circuit failure using time resolved emission microscopy
机译:
使用时间分辨发射显微镜进行模拟电路故障的诊断和分析
作者:
Syed A.
;
Herlein R.
;
Cain B.
;
Sauk F.
会议名称:
《International Test Conference》
|
2005年
关键词:
failure analysis;
fault diagnosis;
integrated circuit testing;
mixed analogue-digital integrated circuits;
time resolved spectroscopy;
analog circuit failure;
analog level problem;
asynchronous timing;
backend failure analysis tool;
debugging tool;
design-for-debu;
71.
Is the concern for soft-error overblown?
机译:
是对软错误过度的关注吗?
作者:
Sandip Kundu
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
72.
Burn-in reduction using principal component analysis
机译:
使用主成分分析燃烧减少
作者:
Nahar A.
;
Daasch R.
;
Subramaniam S.
会议名称:
《International Test Conference》
|
2005年
关键词:
failure analysis;
integrated circuit reliability;
integrated circuit testing;
principal component analysis;
90 nm;
burn-in reduction screen;
principal component analysis;
wafer sort data;
73.
CMOS high-speed, high-precision timing generator for 4.266-Gbps memory test system
机译:
CMOS高速,高精度定时发生器,适用于4.266-Gbps内存测试系统
作者:
Suda M.
;
Yamamoto K.
;
Okayasu T.
;
Kantake S.
;
Sudou S.
;
Watanabe D.
会议名称:
《International Test Conference》
|
2005年
关键词:
CMOS digital integrated circuits;
UHF integrated circuits;
digital phase locked loops;
high-speed integrated circuits;
timing circuits;
timing jitter;
1.066 GHz;
16.8 ps;
4.266 Gbit/s;
8 ps;
CMOS memory circuit;
CMOS timing generator;
digital delay locked loop circu;
74.
A scalable test strategy for network-on-chip routers
机译:
用于网络上路由器的可扩展测试策略
作者:
Amory A.M.
;
Briao E.
;
Cota E.
;
Lubaszewski M.
;
Moraes F.G.
会议名称:
《International Test Conference》
|
2005年
关键词:
IEEE standards;
design for testability;
integrated circuit testing;
network routing;
network-on-chip;
IEEE 1500 standards;
area overhead;
communication architecture;
complex system chip;
control algorithms;
fault coverage;
network routing;
network-on-chip routers;
te;
75.
Analyzing second-order effects between optimizations for system-level test-based model generation
机译:
分析系统级测试模型生成优化的二阶效应
作者:
Margaria T.
;
Raffelt H.
;
Steffen B.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
expert systems;
learning automata;
optimisation;
classical automata learning;
expert knowledge;
observation based model generation;
query reduction;
second-order effects;
system-level test;
test-based model generation;
76.
Calibrating clock stretch during AC scan testing
机译:
校准时钟伸展在交流扫描测试期间
作者:
Rearick J.
;
Rodgers R.
会议名称:
《International Test Conference》
|
2005年
关键词:
boundary scan testing;
clocks;
fault simulation;
AC scan testing;
clock period stretching;
clock stretch calibration;
delay fault testing;
77.
A new probing technique for high-speed/high-density printed circuit boards
机译:
一种新的高速/高密度印刷电路板探测技术
作者:
Parker K.P.
会议名称:
《International Test Conference》
|
2005年
关键词:
design for testability;
high-speed integrated circuits;
logic circuits;
logic testing;
printed circuit testing;
design-for-test rules;
gigabit logic boards;
high-speed circuitry;
in-circuit testing;
operational board speed;
printed circuit boards;
probing technique;
78.
Board and system test with SoC DFT
机译:
SOC DFT的董事会和系统测试
作者:
Robinson G.D.
会议名称:
《International Test Conference》
|
2005年
关键词:
design for testability;
system-on-chip;
SoC DFT;
board test;
chip manufacturing process;
system test;
79.
A test point selection method for data converters using Rademacher functions and wavelet transforms
机译:
用于使用Rademacher功能和小波变换的数据转换器的测试点选择方法
作者:
Carter C.
;
Ang S.
会议名称:
《International Test Conference》
|
2005年
关键词:
analogue-digital conversion;
digital-analogue conversion;
integrated circuit modelling;
wavelet transforms;
Rademacher functions;
analog-to-digital converter;
data converters;
digital-to-analog converter;
linearity error model;
test point selection method;
wavelet;
80.
A DDJ calibration methodology for high-speed test and measurement equipments
机译:
用于高速测试和测量设备的DDJ校准方法
作者:
Farahmand T.
;
Tabatabaei S.
;
Ben-Zeev F.
;
IvanoV A.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
calibration;
high-speed integrated circuits;
integrated circuit testing;
jitter;
DDJ calibration;
DUT;
data pattern;
data-dependent jitter;
high-speed serial data signals;
high-speed test;
measurement equipments;
physical transmiss;
81.
Evaluating ATE-equipment for volume diagnosis
机译:
评估储存型诊断设备
作者:
Arnold R.
;
Leininger A.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
data acquisition;
production testing;
ATE-equipment;
diagnosis data acquisition;
production test;
volume diagnosis;
82.
Efficient SAT-based combinational ATPG using multi-level don't-cares
机译:
高效的基于SAT的组合ATPG,使用多级不关心
作者:
Saluja N.S.
;
Khatri S.P.
会议名称:
《International Test Conference》
|
2005年
关键词:
Boolean functions;
automatic test pattern generation;
circuit optimisation;
combinational circuits;
computability;
fault diagnosis;
logic design;
logic testing;
ACODC;
ATPG process;
Boolean satisfiability;
SAT-based combinational ATPG;
approximate CODC;
combinational;
83.
Layering of the STIL extensions
机译:
STIL扩展的分层
作者:
Maston G.
;
Taylor T.
会议名称:
《International Test Conference》
|
2005年
关键词:
IEEE standards;
logic CAD;
logic partitioning;
logic testing;
IEEE 1450 standard;
standard test interface language;
84.
Development of a software framework for open architecture ATE
机译:
开发开放式架构的软件框架
作者:
Fritzsche W.A.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
open systems;
software metrics;
SOC-ATE systems;
open architecture;
software complexity;
software framework development;
system control software;
85.
Transient fault characterization in dynamic noisy environments
机译:
动态嘈杂环境中的瞬态故障表征
作者:
Polian I.
;
Hayes J.P.
;
Kundu S.
;
Becker B.
会议名称:
《International Test Conference》
|
2005年
关键词:
circuit noise;
fault diagnosis;
integrated circuit testing;
transient analysis;
digital systems;
dynamic noisy environments;
on-line architectures;
serious transient faults;
soft faults;
transient fault characterization;
86.
A novel process and hardware architecture to reduce burn-in cost
机译:
一种新颖的过程和硬件架构,可以减少燃烧成本
作者:
Schroeder C.
;
Jin Pan
;
Albertson T.
会议名称:
《International Test Conference》
|
2005年
关键词:
integrated circuit testing;
microprocessor chips;
Moore law;
burn-in cost reduction;
hardware architecture;
process architecture;
87.
Functional vs. multi-VDD testing of RF circuits
机译:
rf电路的功能与多VDD测试
作者:
Silva E.
;
Pineda de Gyvez J.
;
Gronthoud G.
会议名称:
《International Test Conference》
|
2005年
关键词:
integrated circuit testing;
radiofrequency integrated circuits;
telecommunication equipment testing;
transceivers;
wireless LAN;
DC ramp;
RF circuit testing;
VDD ramp;
WLAN 802.11a transceiver;
functional testing;
multiVDD testing;
88.
Needs Fabless Yield Ramp Foundry Partnership To Be Most Successful
机译:
需要无晶圆布屈服于斜坡代工合作伙伴,最成功
作者:
Bruce Cory
;
The Institute of Electrical and Electronics EngineersInc.
会议名称:
《International Test Conference》
|
2005年
89.
Cost-effective designs of field service for electronic systems
机译:
电子系统现场服务的经济高效设计
作者:
Yu-Ting Lin
;
Williams D.
;
Ambler T.
会议名称:
《International Test Conference》
|
2005年
关键词:
decision trees;
fault diagnosis;
logic testing;
cost-effective designs;
decision tree diagnosis tool;
electronic systems;
weight-based repair policy;
90.
Case study: effectiveness of high-speed scan based feed forward voltage testing in reducing DPPM on a high volume ASIC
机译:
案例研究:高速扫描基于馈电前电压测试的有效性在高卷ASIC上减少DPPM
作者:
Lurkins J.
;
Hill D.
;
Benware B.
会议名称:
《International Test Conference》
|
2005年
关键词:
application specific integrated circuits;
automatic test equipment;
boundary scan testing;
built-in self test;
delays;
integrated circuit testing;
logic testing;
0.18 micron;
ASIC;
automatic test equipment;
feed forward voltage testing;
functional circuit test;
high;
91.
A structured approach for the systematic test of embedded automotive communication systems
机译:
嵌入式汽车通信系统系统测试的结构化方法
作者:
Armengaud E.
;
Rothensteiner F.
;
Steininger A.
;
Pallierer R.
;
Horauer M.
;
Zauner M.
会议名称:
《International Test Conference》
|
2005年
关键词:
automotive electronics;
electronic equipment testing;
embedded systems;
communication subsystem;
distributed automotive system;
embedded automotive communication systems;
fault injection integration;
system decomposition;
systematic test strategy;
92.
Optimized reasoning-based diagnosis for non-random, board-level, production defects
机译:
基于优化的基于推理的非随机,板级,生产缺陷的诊断
作者:
OFarrill C.
;
Moakil-Chbany M.
;
Eklow B.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
case-based reasoning;
fault simulation;
printed circuit testing;
backend costs;
component tests;
debug time;
failing component;
failure detection;
failure diagnosis;
functional test failures debugging;
functional testing;
manufactu;
93.
On concurrent test of wrapped cores and unwrapped logic blocks in SOCs
机译:
关于包裹核心的并发测试和SOC中未包装的逻辑块
作者:
Qiang Xu
;
Nicolici N.
会议名称:
《International Test Conference》
|
2005年
关键词:
IEEE standards;
automatic test pattern generation;
design for testability;
integrated circuit testing;
logic testing;
system-on-chip;
IEEE standard;
SoC;
TestRail architecture;
embedded cores;
system-on-a-chip;
test control mechanism;
test instructions;
test scheduli;
94.
An optimal test pattern selection method to improve the defect coverage
机译:
一种改善缺陷覆盖的最佳测试模式选择方法
作者:
Yuxin Tian
;
Grimaila M.R.
;
Weiping Shi
;
Mercer M.R.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test pattern generation;
fault diagnosis;
linear programming;
logic testing;
ISCAS85 circuits;
defect coverage;
defect part level;
linear programming;
n-detection test;
resistive bridge faults;
test pattern selection;
unmodeled defects;
95.
JTAG-based vector and chain management for system test
机译:
基于JTAG的系统测试向量和链管理
作者:
Van Treuren B.G.
;
Peterson B.E.
;
Miranda J.M.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
boundary scan testing;
built-in self test;
JTAG;
boundary-scan test;
chain management;
multidrop architecture;
system test;
test vectors;
unit under test;
vector management;
96.
A transparent solution for providing remote wired or wireless communication to board and system level boundary-scan architectures
机译:
提供远程有线或无线通信的透明解决方案以及系统级边界扫描架构
作者:
Collins P.
;
Reis I.
;
Simonen M.
;
van Houcke M.
会议名称:
《International Test Conference》
|
2005年
关键词:
IEEE standards;
boundary scan testing;
built-in self test;
design for testability;
IEEE 1149.1 boundary-scan standard;
board level boundary-scan infrastructure;
boundary-scan architectures;
serial communication protocol;
wired communication;
wireless communicatio;
97.
Defect-based RF testing using a new catastrophic fault model
机译:
基于缺陷的RF测试使用新的灾难性故障模型
作者:
Acar E.
;
Ozev S.
会议名称:
《International Test Conference》
|
2005年
关键词:
fault diagnosis;
integrated circuit modelling;
integrated circuit testing;
radiofrequency integrated circuits;
AC characteristic;
DC characteristics;
EM simulations;
catastrophic fault model;
defect models;
defect-based RF testing;
high frequency effects;
noise cha;
98.
The PXI carrier: a novel approach to ATE instrument development
机译:
PXI载体:采集仪器开发的新方法
作者:
Kushnick E.B.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
peripheral interfaces;
ATE instrument development;
ATE test head;
OPENSTAR open-architecture;
PXI carrier;
RF test module;
automatic test equipment;
baseband AWG;
digitizer module;
99.
Parallel, multi-DUT testing in an open architecture test system
机译:
开放式架构测试系统中并行,多DUT测试
作者:
Adachi T.
;
Pramanick A.
;
Elston M.
会议名称:
《International Test Conference》
|
2005年
关键词:
automatic test equipment;
automatic testing;
integrated circuit testing;
open systems;
parallel architectures;
reconfigurable architectures;
Advantest Corporation;
OPENSTAR platform;
T2000 tester;
automatic test equipment;
modular test system;
multiDUT testing;
mult;
100.
A vector-based approach for power supply noise analysis in test compaction
机译:
测试压缩中电源噪声分析的基于矢量的方法
作者:
Jing Wang
;
Ziding Yue
;
Xiang Lu
;
Wangqi Qiu
;
Weiping Shi
;
Walker D.M.H.
会议名称:
《International Test Conference》
|
2005年
关键词:
error analysis;
integrated circuit modelling;
integrated circuit noise;
integrated circuit testing;
power supply circuits;
delay test;
error analysis;
low-cost power supply noise models;
power supply noise analysis;
static test vector compaction;
上一页
2
3
4
5
6
7
8
9
10
11
12
下一页
意见反馈
回到顶部
回到首页