掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Symposium on Quality Electronic Design
International Symposium on Quality Electronic Design
召开年:
2013
召开地:
Santa Clara, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
1986
条结果
1.
Automatic Generation of Translators for Packet-Based and Emerging Protocols
机译:
自动生成基于数据包和新兴协议的翻译人员
作者:
Brian Crafton
;
Arijit Raychowdhury
;
Sung-Kyu Lim
会议名称:
《International Symposium on Quality Electronic Design》
|
2021年
关键词:
Schedules;
Protocols;
Scheduling algorithms;
Semantics;
Standardization;
Tools;
Routing;
2.
CARE: Lightweight Attack Resilient Secure Boot Architecture with Onboard Recovery for RISC-V based SOC
机译:
关心:轻量级攻击弹性安全引导架构,用于基于RISC-V的SoC的板载恢复
作者:
Avani Dave
;
Nilanjan Banerjee
;
Chintan Patel
会议名称:
《International Symposium on Quality Electronic Design》
|
2021年
关键词:
Performance evaluation;
Prototypes;
Tools;
Malware;
Hardware;
Performance analysis;
Internet of Things;
3.
Back-Bias Modulated UTBB SOI for System-on-Chip I/O Cells
机译:
用于系统片上I / O单元格的后偏置调制UTBB SOI
作者:
Ming-Yu Chang
;
Po-Yu Chao
;
Meng-Hsueh Chiang
会议名称:
《International Symposium on Quality Electronic Design》
|
2021年
关键词:
Laterally diffused MOSFET;
LDMOS;
input and output;
back gate;
ultra-thin body and BOX;
UTBB;
I/O cells.;
4.
Performance Investigation of a Si/Ge Heterojunction Asymmetric Double Gate DLTFET Considering Temperature and ITC Variations
机译:
考虑温度和ITC变化的SI / GE异质结不对称双栅DLTFET的性能研究
作者:
Suruchi Sharma
;
Rikmantra Basu
;
Baljit Kaur
会议名称:
《International Symposium on Quality Electronic Design》
|
2021年
5.
Conditional Classification: A Solution for Computational Energy Reduction
机译:
条件分类:计算能量减少的解决方案
作者:
Ali Mirzaeian
;
Sai Manoj
;
Ashkan Vakil
;
Houman Homayoun
;
Avesta Sasan
会议名称:
《International Symposium on Quality Electronic Design》
|
2021年
关键词:
Computer vision;
Computational modeling;
Feature extraction;
Convolutional neural networks;
Computational complexity;
Task analysis;
Image classification;
6.
Exploring Fault-Energy Trade-offs in Approximate DNN Hardware Accelerators
机译:
探索大约DNN硬件加速器中的故障 - 能源折衷
作者:
Ayesha Siddique
;
Kanad Basu
;
Khaza Anuarul Hoque
会议名称:
《International Symposium on Quality Electronic Design》
|
2021年
关键词:
Energy consumption;
Sensitivity;
Statistical analysis;
Approximate computing;
Neural networks;
Approximation error;
Energy efficiency;
7.
Infineon Platform for SoC IO Ring and Package Design
机译:
SoC IO环和包装设计的英飞凌平台
作者:
Sathvik Tarikere Sathyanarayana
;
Anna-Antonia Berger
;
Mahesh Simpy Kumar
;
Akbay Erkan
;
Ramkrishna Paira
会议名称:
《International Symposium on Quality Electronic Design》
|
2021年
关键词:
IO Pad Ring;
Packaging;
RTL Generation;
Connectivity;
8.
Runtime Long-Term Reliability Management Using Stochastic Computing in Deep Neural Networks
机译:
利用深神经网络中随机计算的运行时长期可靠性管理
作者:
Yibo Liu
;
Shuyuan Yu
;
Shaoyi Peng
;
Sheldon X.-D. Tan
会议名称:
《International Symposium on Quality Electronic Design》
|
2021年
关键词:
Negative bias temperature instability;
Time-frequency analysis;
Power demand;
Quantization (signal);
Neural networks;
Aging;
Throughput;
9.
Approximate Logic Synthesis: A Reinforcement Learning-Based Technology Mapping Approach
机译:
近似逻辑合成:基于加强学习的技术映射方法
作者:
Ghasem Pasandi
;
Shahin Nazarian
;
Massoud Pedram
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Error analysis;
Delays;
Logic gates;
Machine learning algorithms;
Hamming distance;
Tools;
10.
Synthesis of Algorithm Considering Communication Structure of Distributed/Parallel Computing
机译:
考虑分布式/并行计算通信结构的算法综合
作者:
Yukio Miyasaka
;
Ashish Mittal
;
Masahiro Fujita
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Registers;
Table lookup;
Partitioning algorithms;
Flow graphs;
Field programmable gate arrays;
Multicore processing;
Computational modeling;
11.
Exploiting Energy-Accuracy Trade-off through Contextual Awareness in Multi-Stage Convolutional Neural Networks
机译:
利用多阶段卷积神经网络的上下文意识来利用能量准确性权衡
作者:
Katayoun Neshatpour
;
Farnaz Behnia
;
Houman Homayoun
;
Avesta Sasan
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Computational complexity;
Computer architecture;
Real-time systems;
Training;
Computational modeling;
Optimization;
Discrete wavelet transforms;
12.
Dynamic Reconfiguration of CNNs for Input-Dependent Approximation
机译:
用于输入相关近似的CNN的动态重新配置
作者:
Maedeh Hemmat
;
Azadeh Davoodi
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Hardware;
Clustering algorithms;
Degradation;
Approximation algorithms;
Neural networks;
Training;
Power demand;
13.
A Comprehensive Evaluation of Power Delivery Schemes for Modern Microprocessors
机译:
现代微处理器电力输送方案综合评价
作者:
Jawad Haj-Yahya
;
Efraim Rotem
;
Avi Mendelson
;
Anupam Chattopadhyay
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Voltage control;
Regulators;
Mathematical model;
Microprocessors;
Graphics;
Frequency measurement;
Load modeling;
14.
Drive-Strength Selection for Synthesis of Leakage-Dominant Circuits
机译:
用于合成漏电优势电路的驱动强度选择
作者:
A.K.M. Mahfuzul Islam
;
Shinichi Nishizawa
;
Yusuke Matsui
;
Yoshinobu Ichida
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Logic gates;
Libraries;
Clocks;
Delays;
Transistors;
Flyback transformers;
Stacking;
15.
Simulation Based Assessment of SRAM Data Retention Voltage
机译:
基于SRAM数据保留电压的仿真评估
作者:
Z. Dong
;
X. Cao
;
M. Ahosan Ul Karim
;
V. Joshi
;
T. Klick
;
J. Schmid
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Logic gates;
SRAM cells;
Arrays;
Data models;
Transient analysis;
Silicon;
16.
Closing the Verification Gap with Static Sign-off
机译:
关闭静态签名验证差距
作者:
Pranav Ashar
;
Vinod Viswanath
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
IP networks;
Tools;
Static analysis;
System-on-chip;
Computer bugs;
Timing;
Complexity theory;
17.
A Multi-Driver Write Scheme for Reliable and Energy Efficient 1S1R ReRAM Crossbar Arrays
机译:
用于可靠和节能1S1R reram CrossBar阵列的多驱动器写方案
作者:
Sherif Amer
;
Garrett S. Rose
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Resistance;
Reliability;
Energy efficiency;
Threshold voltage;
Degradation;
Integrated circuit interconnections;
Phase change random access memory;
18.
Characterization of Fast, Accurate Leakage Power Models for IEEE P2416
机译:
用于IEEE P2416的快速,精确漏电功率模型的表征
作者:
Barkha Gupta
;
W. Rhett Davis
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Logic gates;
Mathematical model;
MOS devices;
Inverters;
Integrated circuit modeling;
Leakage currents;
Standards;
19.
A Compact Model of Negative Bias Temperature Instability Suitable for Gate-Level Circuit Simulation
机译:
紧凑的负偏置温度不稳定性,适用于栅极电平电路仿真
作者:
Xu Liu
;
Alessandro Bernardini
;
Ulf Schlichtmann
;
Xing Zhou
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Mathematical model;
Integrated circuit modeling;
Negative bias temperature instability;
Thermal variables control;
Logic gates;
Stress;
Threshold voltage;
20.
A Non-Slicing 3-D Floorplan Representation for Monolithic 3-D IC Design
机译:
单片3-D IC设计的非切片3-D平面图表示
作者:
Shantonu Das
;
Dae Hyun Kim
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Wires;
Power demand;
Through-silicon vias;
Minimization;
Perturbation methods;
Silicon;
21.
Assertion Coverage Aware Trace Signal Selection in Post-Silicon Validation
机译:
断言覆盖意识到硅后验证中的跟踪信号选择
作者:
Xiaobang Liu
;
Ranga Vemuri
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Signal restoration;
Clocks;
Computer bugs;
Debugging;
Hardware;
Monitoring;
Silicon;
22.
PUF-PassSE: A PUF based Password Strength Enhancer for IoT Applications
机译:
puf-passse:用于物联网应用的基于PUF的密码强度增强器
作者:
Qian Wang
;
Mingze Gao
;
Gang Qu
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Password;
Entropy;
Internet of Things;
Inverters;
Authentication;
23.
Using Spin-Hall MTJs to Build an Energy-Efficient In-memory Computation Platform
机译:
使用Spin-Hall MTJS构建节能内存计算平台
作者:
Masoud Zabihi
;
Zhengyang Zhao
;
DC Mahendra
;
Zamshed I. Chowdhury
;
Salonik Resch
;
Thomas Peterson
;
Ulya R. Karpuzcu
;
Jian-Ping Wang
;
Sachin S. Sapatnekar
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Logic gates;
Switches;
Transistors;
Resistance;
Computer architecture;
Magnetic tunneling;
Magnetization;
24.
Application of Probabilistic Spin Logic (PSL) in Detecting Satisfiability of a Boolean Function
机译:
概率自旋逻辑(PSL)在检测布尔函数的可靠性中的应用
作者:
Vaibhav Agarwal
;
Sneh Saurabh
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Boolean functions;
Logic gates;
Reactive power;
Indexes;
Probabilistic logic;
Computational modeling;
Integrated circuit interconnections;
25.
MReC: A Multilayer Photonic Reservoir Computing Architecture
机译:
MREC:多层光子储存器计算架构
作者:
Dharanidhar Dhang
;
Syed Ali Hasnain
;
Rabi Mahapatra
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Reservoirs;
Photonics;
Neurons;
Task analysis;
Training;
Feature extraction;
Delays;
26.
A Darwinian Genetic Algorithm for State Encoding Based Finite State Machine Watermarking
机译:
基于国家编码的有限状态机水印的达尔文遗传算法
作者:
Matthew Lewandowski
;
Srinivas Katkoori
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Sociology;
Statistics;
Genetic algorithms;
Watermarking;
Encoding;
Biological information theory;
Biological cells;
27.
kNN-CAM: A k-Nearest Neighbors-based Configurable Approximate Floating Point Multiplier
机译:
KNN-CAM:基于K-最近的邻居的可配置近似浮点倍增器
作者:
Ming Yan
;
Yuntao Song
;
Yiyu Feng
;
Ghasem Pasandi
;
Massoud Pedram
;
Shahin Nazarian
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Error analysis;
Engines;
Delays;
Approximation algorithms;
Training;
Approximate computing;
Support vector machines;
28.
State Preserving Dynamic DRAM Bank Re-Configurations for Enhanced Power Efficiency
机译:
状态保留动态DRAM银行重新配置,以提高功率效率
作者:
Kaustav Goswami
;
Hemanta Kumar Mondal
;
Shirshendu Das
;
Dip Sankar Banerjee
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Switches;
Benchmark testing;
DRAM chips;
Arrays;
Heuristic algorithms;
29.
Impact of Self-heating on Performance and Reliability in FinFET and GAAFET Designs
机译:
自加热对FINFET和GAAFET设计中性能和可靠性的影响
作者:
Vidya A. Chhabria
;
Sachin S. Sapatnekar
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Logic gates;
FinFETs;
Heating systems;
Silicon-on-insulator;
Silicon;
Thermal conductivity;
30.
Device Designs and Analog Performance Analysis for Negative-Capacitance Vertical-Tunnel FET
机译:
负电容垂直隧道FET的装置设计和模拟性能分析
作者:
Hung-Han Lin
;
Vita Pi-Ho Hu
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
TFETs;
Tunneling;
Ions;
Doping;
Logic gates;
Capacitance;
31.
Automatic Test Pattern Generation for Double Stuck-at Faults Based on Test Patterns of Single Faults
机译:
基于单个故障测试模式的双卡故障自动测试模式生成
作者:
Peikun Wang
;
Amir Masoud Gharehbaghi
;
Masahiro Fujita
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Circuit faults;
Logic gates;
Test pattern generators;
Runtime;
Integrated circuit modeling;
Wires;
32.
Accelerating Deterministic Bit-Stream Computing with Resolution Splitting
机译:
通过分辨率分离加速确定性比特流计算
作者:
M. Hassan Najafi
;
S. Rasoul Faraji
;
Bingzhe Li
;
David J. Lilja
;
Kia Bazargan
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Energy consumption;
Hardware;
Energy resolution;
Logic gates;
Multiplexing;
Clocks;
Generators;
33.
Task-Based Neuromodulation Architecture for Lifelong Learning
机译:
基于任务的终身学习神经调节架构
作者:
Anurag Reddy Daram
;
Dhireesha Kudithipudi
;
Angel Yanguas-Gil
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Task analysis;
Insects;
Neuromodulation;
Training;
Learning systems;
Biological neural networks;
34.
VeriSFQ: A Semi-formal Verification Framework and Benchmark for Single Flux Quantum Technology
机译:
Verisfq:单磁通量子技术的半正式验证框架和基准
作者:
Alvin D. Wong
;
Kevin Su
;
Hang Sun
;
Arash Fayyazi
;
Massoud Pedram
;
Shahin Nazarian
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Logic gates;
Integrated circuit modeling;
Computer bugs;
Pipeline processing;
Benchmark testing;
CMOS technology;
Josephson junctions;
35.
Small Memory Footprint Neural Network Accelerators
机译:
小内存占地面积神经网络加速器
作者:
Kenshu Seto
;
Hamid Nejatollahi
;
Jiyoung An
;
Sujin Kang
;
Nikil Dutt
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
System-on-chip;
Memory management;
Convolution;
Artificial neural networks;
Task analysis;
Kernel;
36.
Resilient Reorder Buffer Design for Network-on-Chip
机译:
适用于片上网的弹性再排序缓冲器设计
作者:
Zheng Xu
;
Jacob Abraham
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Safety;
Error correction codes;
Fault tolerance;
Fault tolerant systems;
Table lookup;
Registers;
Industries;
37.
Deterministic Stochastic Computation Using Parallel Datapaths
机译:
使用并行数据路径的确定性随机计算
作者:
Alexander J Groszewski
;
Travis Lenz
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Clocks;
Stochastic processes;
Parallel processing;
Adders;
Logic gates;
Approximate computing;
Optimization;
38.
An Automated Design Flow for Synthesis of Optimal Switching Power Supply
机译:
用于最佳开关电源的自动化设计流程
作者:
Pradeep Chawda
;
Anupriya Prasad
;
Kunjal Rathod
;
Kritika Solanki
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Power supplies;
Switches;
Tools;
Solid modeling;
Optimization;
Integrated circuit modeling;
Design tools;
39.
Lightweight Secure-Boot Architecture for RISC-V System-on-Chip
机译:
用于RISC-V系统的轻量级安全启动架构
作者:
Jawad Haj-Yahya
;
Ming Ming Wong
;
Vikramkumar Pudi
;
Shivam Bhasin
;
Anupam Chattopadhyay
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
digital signatures;
public key cryptography;
security of data;
system-on-chip;
telecommunication security;
40.
Power-aware IoT based Smart Health Monitoring using Wireless Body Area Network
机译:
基于动力感知的IOT使用无线体积网络的智能健康监控
作者:
Jitumani Sarma
;
Akash Katiyar
;
Rakesh Biswas
;
Hemanta Kumar Mondal
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Electrocardiography;
Wireless communication;
Body area networks;
Power system management;
Monitoring;
Biomedical monitoring;
Batteries;
41.
Amoeba-Inspired Stochastic Hardware SAT Solver
机译:
Amoeba启发随机硬件SAT求解器
作者:
Kazuaki Hara
;
Naoki Takeuchi
;
Masashi Aono
;
Yuko Hara-Azumi
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Hardware;
Parallel processing;
Embedded systems;
Computer architecture;
Field programmable gate arrays;
Input variables;
Stochastic processes;
42.
A Communication-Centric Observability Selection for Post-Silicon System-on-Chip Integration Debug
机译:
用于硅片系统片上集成调试的通信的可观察性选择
作者:
Yuting Cao
;
Hao Zheng
;
Sandip Ray
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Monitoring;
Measurement;
Observability;
System-on-chip;
Protocols;
Computer bugs;
Bandwidth;
43.
Low Restoration-Energy Differential Spin Hall Effect MRAM for High-Speed Nonvolatile SRAM Application
机译:
低恢复 - 能量差动旋转霍尔效应MRAM用于高速非易失性SRAM应用
作者:
Sonal Shreya
;
Brajesh Kumar Kaushik
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Magnetic tunneling;
Nonvolatile memory;
Spintronics;
Magnetic moments;
Hall effect;
SRAM cells;
44.
MAPIM: Mat Parallelism for High Performance Processing in Non-volatile Memory Architecture
机译:
MAPIM:非易失性内存架构中的高性能处理的垫子并行性
作者:
Joonseop Sim
;
Minsu Kim
;
Yeseong Kim
;
Saransh Gupta
;
Behnam Khaleghi
;
Tajana Rosing
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Nonvolatile memory;
Decoding;
Parallel processing;
Logic gates;
Latches;
Computer architecture;
Random access memory;
45.
Towards Collaborative Intelligence Friendly Architectures for Deep Learning
机译:
走向合作情报友好架构深入学习
作者:
Amir Erfan Eshratifar
;
Amirhossein Esmaili
;
Massoud Pedram
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Mobile handsets;
Computational modeling;
Cloud computing;
Collaborative intelligence;
Wireless networks;
Servers;
46.
Minimizing Classification Energy of Binarized Neural Network Inference for Wearable Devices
机译:
最小化可穿戴设备二值化神经网络推理的分类能量
作者:
Morteza Hosseini
;
Hirenkumar Paneliya
;
Utteja Kallakuri
;
Mohit Khatwani
;
Tinoosh Mohsenin
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Hardware;
Biomedical monitoring;
Stress;
Monitoring;
Memory management;
Feature extraction;
Field programmable gate arrays;
47.
An Application Specific Processor Architecture with 3D Integration for Recurrent Neural Networks
机译:
一种专用处理器架构,具有复发神经网络的3D集成
作者:
Sumon Dey
;
Paul D. Franzon
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Training;
Hardware;
Computer architecture;
Three-dimensional displays;
Bandwidth;
Random access memory;
Registers;
48.
Towards Energy Efficient non-von Neumann Architectures for Deep Learning
机译:
迈向节能非von Neumann架构进行深度学习
作者:
Antara Ganguly
;
Rajeev Muralidhar
;
Virendra Singh
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Deep learning;
Hardware;
Neurons;
Acceleration;
Memory management;
Computational modeling;
49.
A General Framework to Map Neural Networks onto Neuromorphic Processor
机译:
将神经网络映射到神经形态处理器上的一般框架
作者:
Haowen Fang
;
Amar Shrestha
;
Ziyi Zhao
;
Yanzhi Wang
;
Qinru Qiu
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Hardware;
Sociology;
Statistics;
Neurons;
Biological neural networks;
Neuromorphics;
System-on-chip;
50.
Behavioral Modeling of Tunable I/O Drivers with Pre-emphasis Using Neural Networks
机译:
使用神经网络预压缩的可调I / O驱动程序的行为建模
作者:
Huan Yu
;
Jaemin Shin
;
Tim Michalka
;
Mourad Larbi
;
Madhavan Swaminathan
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Integrated circuit modeling;
Driver circuits;
Switches;
Timing;
Recurrent neural networks;
Analytical models;
51.
Fast Mapping-Based High-Level Synthesis of Pipelined Circuits
机译:
基于快速的映射的流水线电路的高级合成
作者:
Chaofan Li
;
Sachin S. Sapatnekar
;
Jiang Hu
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Registers;
Pipeline processing;
Pipelines;
Optimization;
Clocks;
Table lookup;
Resource management;
52.
Speed Optimization of Vertically Stacked Gate-All-Around MOSFETs with Inner Spacers for Low Power and Ultra-Low Power Applications
机译:
具有用于低功耗和超低功耗应用的内部间隔件垂直堆叠的门 - 全部MOSFET的速度优化
作者:
Ya-Chi Huang
;
Meng-Hsueh Chiang
;
Shui-Jinn Wang
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Logic gates;
MOSFET;
Gallium arsenide;
Capacitance;
Low-power electronics;
Delays;
Controllability;
53.
Estimating Pareto Optimum Fronts to Determine Knob Settings in Electronic Design Automation Tools
机译:
估算Pareto最佳前端,以确定电子设计自动化工具中的旋钮设置
作者:
Billy Huggins
;
W. Rhett Davis
;
Paul Franzon
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Clocks;
Tools;
Physical design;
Integrated circuit modeling;
Computational modeling;
Optimization;
54.
Deep Learning-Based Wafer-Map Failure Pattern Recognition Framework
机译:
基于深度学习的晶圆地图故障模式识别框架
作者:
Tsutomu Ishida
;
Izumi Nitta
;
Daisuke Fukuda
;
Yuzi Kanazawa
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Pattern recognition;
Integrated circuits;
Deep learning;
Systematics;
Feature extraction;
Target recognition;
Training;
55.
Impact of Double-Row Height Standard Cells on Placement and Routing
机译:
双排高度标准电池对放置和路由的影响
作者:
Rung-Bin Lin
;
Yu-Xiang Chiang
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Libraries;
Wires;
Routing;
Layout;
Standards;
Pins;
MOS devices;
56.
A Scalable Image/Video Processing Platform with Open Source Design and Verification Environment
机译:
具有开源设计和验证环境的可扩展图像/视频处理平台
作者:
Xiaokun Yang
;
Yunxiang Zhang
;
Lei Wu
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Field programmable gate arrays;
Timing;
Cameras;
Hardware design languages;
Registers;
Image capture;
Clocks;
57.
High-Performance NoCs Employing the DSP48E1 Blocks of the Xilinx FPGAs
机译:
使用Xilinx FPGA的DSP48E1块的高性能NOCS
作者:
Prasad B M. Prabhu
;
Khyamling Parane
;
Basavaraj Talawar
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Field programmable gate arrays;
Multiplexing;
Switches;
Table lookup;
Topology;
Routing;
58.
Routing Complexity Minimization of Monolithic Three-Dimensional Integrated Circuits
机译:
整体三维集成电路的路由复杂性最小化
作者:
Sheng-En David Lin
;
Dae Hyun Kim
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Three-dimensional displays;
Routing;
Logic gates;
Integrated circuits;
Two dimensional displays;
Standards;
Layout;
59.
Processing-In-Memory Acceleration of Convolutional Neural Networks for Energy-Effciency, and Power-Intermittency Resilience
机译:
用于节能神经网络的加工内存加速度,以及动力间弹性
作者:
Arman Roohi
;
Shaahin Angizi
;
Deliang Fan
;
Ronald F DeMara
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Decoding;
Convolution;
Random access memory;
Memory management;
Feature extraction;
Kernel;
Acceleration;
60.
An Artificial Intelligence Approach to EDA Software Testing: Application to Net Delay Algorithms in FPGAs
机译:
EDA软件测试的人工智能方法:FPGA中净延迟算法的应用
作者:
Madhu Raman
;
Nizar Abdallah
;
Julien Dunoyer
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Delays;
Field programmable gate arrays;
Testing;
Computer architecture;
Tools;
Machine learning;
61.
On SAT-Based Attacks On Encrypted Sequential Logic Circuits
机译:
关于加密顺序逻辑电路的SAT基攻击
作者:
Yasaswy Kasarabada
;
Suyuan Chen
;
Ranga Vemuri
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Sequential circuits;
Encryption;
Integrated circuits;
Clocks;
Computer architecture;
Discrete Fourier transforms;
62.
Robust Transistor Sizing for Improved Performances in Digital Circuits using Optimization Algorithms
机译:
使用优化算法改进数字电路性能的鲁棒晶体管尺寸
作者:
Prateek Gupta
;
Harshini Mandadapu
;
Shirisha Gourishetty
;
Zia Abbas
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Delays;
Transistors;
Optimization;
CMOS technology;
Integrated circuit modeling;
MOS devices;
Adders;
63.
Evaluating Design Space Subsetting for Multi-Objective Optimization in Configurable Systems
机译:
评估可配置系统中多目标优化的设计空间子集
作者:
Mohamad Hammam Alsafrjalani
;
Tosiron Adegbija
;
Lokesh Ramamoorthi
会议名称:
《International Symposium on Quality Electronic Design》
|
2019年
关键词:
Optimization;
Space exploration;
Runtime;
Layout;
Computational modeling;
Market research;
Correlation;
64.
Concolic testing of SystemC designs
机译:
Systemc设计的Con Consog测试
作者:
Bin Lin
;
Kai Cong
;
Zhenkun Yang
;
Zhigang Liao
;
Tao Zhan
;
Christopher Havlicek
;
Fei Xie
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Testing;
Libraries;
Object oriented modeling;
Computer bugs;
Semantics;
Engines;
Clocks;
65.
A low-power configurable adder for approximate applications
机译:
用于近似应用的低功耗可配置加法器
作者:
Tongxin Yang
;
Tomoaki Ukezono
;
Toshinori Sato
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Adders;
Power demand;
Logic gates;
Equivalent circuits;
Delays;
Hardware design languages;
Runtime;
66.
Synthesis of normally-off boolean circuits: An evolutionary optimization approach utilizing spintronic devices
机译:
常关布尔电路的合成:利用旋转式设备的进化优化方法
作者:
Arman Roohi
;
Ramtin Zand
;
Ronald F DeMara
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Optimization;
Logic gates;
Delays;
Magnetic tunneling;
Spintronics;
Genetic algorithms;
Computer architecture;
67.
A modified method of logical effort for FinFET circuits considering impact of fin-extension effects
机译:
考虑鳍延伸效应影响的FinFET电路的逻辑努力修改方法
作者:
Archana Pandey
;
Pitul Garg
;
Shobhit Tyagi
;
Rajeev Ranjan
;
Anand Bulusu
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
FinFETs;
Capacitance;
Logic gates;
Delays;
Inverters;
Integrated circuit modeling;
Noise measurement;
68.
A 4-PAM interconnect in network-on-chip for high-throughput and latency-sensitive applications
机译:
用于高吞吐量和延迟敏感应用的片内的4-PAM互连
作者:
Ahmad Mansour
;
Ahmed Elnaggar
;
Bassma Alabassy
;
Mostafa Khamis
;
Ahmed Shalaby
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Routing;
Resource management;
Bandwidth;
Transmitters;
Computer architecture;
Wires;
Throughput;
69.
A technique to aggregate classes of analog fault diagnostic data based on association rule mining
机译:
基于关联规则挖掘的模拟故障诊断数据类的技术
作者:
Ruslan Dautov
;
Sergey Mosin
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Circuit faults;
Integrated circuit modeling;
Monte Carlo methods;
Analog circuits;
Computational modeling;
Data mining;
Testing;
70.
Logic-based row redundancy technique designed in 7nm FinFET technology for embedded SRAMs
机译:
基于逻辑的行冗余技术,专为嵌入式SRAM的7nm FinFET技术设计
作者:
Vivek Nautiyal
;
Nishant Nukala
;
Fakhruddin Ali Bohra
;
Sagar Dwivedi
;
Jitendra Dasani
;
Satinderjit Singh
;
Gaurav Singla
;
Martin Kinkade
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Redundancy;
Circuit faults;
Random access memory;
Latches;
Timing;
Maintenance engineering;
Decoding;
71.
Power-aware testing in the Era of IoT
机译:
信息时代的动力感知测试
作者:
Patrick Girard
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
72.
Power and performance aware memory-controller voting mechanism
机译:
电源和性能感知内存控制器投票机制
作者:
Milena Vratonjic
;
Harmander Singh
;
Gautam Kumar
;
Roumi Mohamed
;
Ashish Bajaj
;
Ken Gainey
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Graphics processing units;
Time-frequency analysis;
Heuristic algorithms;
Frequency control;
Memory management;
System performance;
Monitoring;
73.
High-level synthesis of key based obfuscated RTL datapaths
机译:
基于关键的混淆RTL DataPaths的高级别合成
作者:
Sheikh Ariful Islam
;
Srinivas Katkoori
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Hardware;
Logic gates;
IP networks;
Foundries;
Tools;
Reverse engineering;
Registers;
74.
Parallel implementation of finite state machines for reducing the latency of stochastic computing
机译:
用于降低随机计算等延迟的有限状态机的平行实现
作者:
Cong Ma
;
David J. Lilja
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Steady-state;
Hardware;
Estimation;
Clocks;
Logic gates;
Automata;
Streaming media;
75.
A loop structure optimization targeting high-level synthesis of fast number theoretic transform
机译:
循环结构优化靶向快速数量变换的高级合成
作者:
Kazushi Kawamura
;
Masao Yanagisawa
;
Nozomu Togawa
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Convolution;
Optimization;
Hardware;
Transforms;
Field programmable gate arrays;
Tools;
Software;
76.
Efficient K nearest neighbor algorithm implementations for throughput-oriented architectures
机译:
有效的K最近邻算法实现吞吐量的架构
作者:
Jihyun Ryoo
;
Meena Arunachalam
;
Rahul Khanna
;
Mahmut T. Kandemir
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Acceleration;
77.
A deep learning based approach for analog hardware implementation of delayed feedback reservoir computing system
机译:
基于深度学习的延迟反馈储层系统的模拟硬件实现方法
作者:
Jialing Li
;
Kangjun Bai
;
Lingjia Liu
;
Yang Yi
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Reservoirs;
Delays;
Hardware;
Computational modeling;
Machine learning;
Neurons;
Computer architecture;
78.
Hybrid-comp: A criticality-aware compressed last-level cache
机译:
Hybrid-comp:一个关键感知的压缩最后级缓存
作者:
Amin Jadidi
;
Mohammad Arjomand
;
Mahmut T. Kandemir
;
Chita R. Das
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Sensitivity;
Multicore processing;
System-on-chip;
System performance;
Data compression;
Delays;
Electrical engineering;
79.
An area and energy efficient design of domain-wall memory-based deep convolutional neural networks using stochastic computing
机译:
利用随机计算的域壁记忆基础卷积神经网络的一个区域和节能设计
作者:
Xiaolong Ma
;
Yipeng Zhang
;
Geng Yuan
;
Ao Ren
;
Zhe Li
;
Jie Han
;
Jingtong Hu
;
Yanzhi Wang
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Random access memory;
Hardware;
Nonvolatile memory;
Neurons;
Magnetic tunneling;
Convolution;
Magnetic domain walls;
80.
An ESD transient clamp with 494 pA leakage current in GP 65 nm CMOS technology
机译:
具有494Pa漏电流的ESD瞬态钳位,GP 65 NM CMOS技术
作者:
Mahdi Elghazali
;
Manoj Sachdev
;
Ajoy Opal
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Clamps;
Electrostatic discharges;
Stress;
Transistors;
Power supplies;
Thyristors;
Transient analysis;
81.
Program acceleration using nearest distance associative search
机译:
使用最近距离关联搜索的程序加速
作者:
Mohsen Imani
;
Daniel Peroni
;
Tajana Rosing
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Computer architecture;
Microprocessors;
Cams;
Associative memory;
Micromechanical devices;
Graphics processing units;
Measurement;
82.
Power management factors and techniques for IoT design devices
机译:
IOT设计设备的电源管理因素和技术
作者:
Anupriya Prasad
;
Pradeep Chawda
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Power system management;
Batteries;
Sensors;
Wireless sensor networks;
Maximum power point trackers;
Regulators;
Battery charge measurement;
83.
Uncertainty aware mapping of embedded systems for reliability, performance, and energy
机译:
用于可靠性,性能和能量的嵌入式系统的不确定感知映射
作者:
Wenkai Guan
;
Milad Ghorbani Moghaddam
;
Cristinel Ababei
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Ear;
Reactive power;
Manganese;
84.
Routing at compile time
机译:
在编译时路由
作者:
Chun-Xun Lin
;
Tsung-Wei Huang
;
Martin D. F. Wong
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Routing;
Runtime;
C++ languages;
Tools;
Libraries;
Benchmark testing;
Timing;
85.
New AC resistance calculation of printed spiral coils for wireless power transfer
机译:
用于无线电力传输印刷螺旋线圈的新型交流电阻计算
作者:
Gaorong Qian
;
Yuhua Cheng
;
Guoxiong Chen
;
Gaofeng Wang
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Resistance;
Frequency measurement;
Coils;
Conductors;
Magnetic fields;
Magnetic field measurement;
Spirals;
86.
Mathematical derivation, circuits design and clinical experiments of measuring blood flow volume (BFV) at arteriovenous fistula (AVF) of hemodialysis (HD) patients using a newly-developed photoplethysmography (PPG) sensor
机译:
使用新开发的光增性血压(PPG)传感器(PPG)传感器测量血液透析患者的动血管瘘(AVF)血流量(BFV)的数学衍生,电路设计和临床实验
作者:
Paul C. P. Paul
;
Pei-Yu Chiang
;
D. C. Tarng
;
C. Y. Yang
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Avalanche photodiodes;
Skin;
Manganese;
Kidney;
Handheld computers;
Conferences;
Technological innovation;
87.
Process variation aware D-Flip-Flop design using regression analysis
机译:
使用回归分析处理变型识别D-FLIP-FLOP设计
作者:
Shinichi Nishizawa
;
Hidetoshi Onodera
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Transistors;
Delays;
Regression analysis;
Standards;
Integrated circuit modeling;
Mathematical model;
Tuning;
88.
Deep neural network acceleration framework under hardware uncertainty
机译:
硬件不确定性下的深度神经网络加速框架
作者:
Mohsen Imani
;
Pushen Wang
;
Tajana Rosing
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Hardware;
Training;
Adaptation models;
Mathematical model;
Acceleration;
Biological neural networks;
89.
Body-biasing assisted vmin optimization for 5nm-node multi-Vt FD-SOI 6T-SRAM
机译:
5nm节点多VT FD-SRAM的5NM节点辅助VMIN优化辅助VMIN优化
作者:
Jheng-Yi Chen
;
Ming-Yu Chang
;
Shi-Hao Chen
;
Jia-Wei Lee
;
Meng-Hsueh Chiang
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Conferences;
90.
A wireless multifunctional monitoring system of tower body running state based on MEMS acceleration sensor
机译:
基于MEMS加速度传感器的塔体运行状态无线多功能监测系统
作者:
Linxi Dong
;
Haonan Wang
;
Gaofeng Wang
;
Weimin Qiu
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Wireless communication;
Wireless sensor networks;
Poles and towers;
Monitoring;
Acceleration;
Temperature measurement;
Temperature sensors;
91.
Verification methodology to guarantee low routing resistance to well taps
机译:
验证方法,以保证低路由阻力
作者:
Mohammed Fakhruddin
;
Kuok-Khian Lo
;
James Karp
;
Michael J. Hart
;
Min-Hsing P. Chen
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Routing;
Resistance;
Metals;
Tools;
Layout;
Electrostatic discharges;
Standards;
92.
Reliable memory PUF design for low-power applications
机译:
低功耗应用的可靠记忆PUF设计
作者:
Mohammad Saber Golanbari
;
Saman Kiamehr
;
Rajendra Bishnoi
;
Mehdi B. Tahoori
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Reliability engineering;
Integrated circuit reliability;
Measurement;
SRAM cells;
Threshold voltage;
93.
Quantized neural networks with new stochastic multipliers
机译:
具有新的随机乘法器的量化神经网络
作者:
Bingzhe Li
;
M. Hassan Najafi
;
Bo Yuan
;
David J. Lilja
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Stochastic processes;
Hardware;
Biological neural networks;
Quantization (signal);
Logic gates;
Error analysis;
94.
Low cost and power CNN/deep learning solution for automated driving
机译:
低成本和电力CNN /自动驾驶深度学习解决方案
作者:
Mihir Mody
;
Desappan Kumar
;
Pramod Swami
;
Manu Mathew
;
Soyeb Nagori
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Convolution;
Machine learning;
Quantization (signal);
Training;
Planning;
Complexity theory;
Computational modeling;
95.
Augmenting ESD and EOS physical analysis with per pin ESD and leakage DFT
机译:
使用PEN ESD和泄漏DFT增强ESD和EOS物理分析
作者:
Horaira Abu
;
Salem Abdennadher
;
Benoit Provost
;
Harry Muljono
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Electrostatic discharges;
Discrete Fourier transforms;
Earth Observing System;
Pins;
Clocks;
Capacitors;
Integrated circuits;
96.
A simplified methodology for complex analog module layout generation
机译:
复杂模拟模块布局生成的简化方法
作者:
Pradeep Kumar Chawda
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Layout;
Transistors;
Routing;
Substrates;
Metals;
Logic gates;
Optimization;
97.
A study on NBTI-induced delay degradation considering stress frequency dependence
机译:
考虑应力频率依赖性的NBTI诱导延迟劣化研究
作者:
Zuitoku Shin
;
Shumpei Morita
;
Song Bian
;
Michihiro Shintani
;
Masayuki Hiromoto
;
Takashi Sato
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Degradation;
Transistors;
Delays;
Negative bias temperature instability;
Thermal variables control;
Logic gates;
Stress;
98.
Optimizing energy in a DRAM based hybrid cache
机译:
在基于DRAM的混合缓存中优化能量
作者:
Jiacong He
;
Joseph Callenes-Sloan
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Random access memory;
Program processors;
Through-silicon vias;
Wires;
Two dimensional displays;
Switches;
Three-dimensional displays;
99.
LUPIS: Latch-up based ultra efficient processing in-memory system
机译:
LUPIS:基于闩锁的超高效处理内存系统
作者:
Joonseop Sim
;
Mohsen Imani
;
Woojin Choi
;
Yeseong Kim
;
Tajana Rosing
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Thyristors;
Sensors;
Nonvolatile memory;
Resistance;
Electric potential;
Random access memory;
Adders;
100.
An online framework for diagnosis of multiple defects in scan chains
机译:
诊断扫描链中多缺陷的在线框架
作者:
Sarmad Tanwir
;
Michael S. Hsiao
;
Loganathan Lingappan
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Circuit faults;
Indexes;
Testing;
Upper bound;
Tools;
Hardware;
Integrated circuit modeling;
上一页
1
2
3
4
5
6
7
8
9
10
11
下一页
意见反馈
回到顶部
回到首页