掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Symposium on Quality Electronic Design
International Symposium on Quality Electronic Design
召开年:
2013
召开地:
Santa Clara, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
1986
条结果
1.
A radiation-hardened-by-design phase-locked loop using feedback voltage controlled oscillator
机译:
使用反馈电压控制振荡器的逐方设计锁相环
作者:
Seok Min Jung
;
Roveda Janet Meiling
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Phase-locked loop (PLL);
loop filter (LF);
radiation-hardened-by-design (RHBD);
voltage controlled oscillator (VCO);
2.
Design and analysis of low pass microstrip filters using MATLAB
机译:
使用MATLAB的低通微带滤波器的设计与分析
作者:
Tomar Luv
;
Gupta Saurabh
;
Tomar Raghuvir
;
Bhartia Prakash
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
ANSYS;
CAD;
HFSS;
MATLAB;
MIC;
Microstrip;
filter;
3.
Recovery of faulty TSVs in 3D ICs
机译:
恢复3D IC中的故障TSV
作者:
Roy Surajit Kumar
;
Roy Kaustav
;
Giri Chandan
;
Rahaman Hafizur
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
4.
A new single inductor bipolar multiple output (SIBMO) boost converter using pulse frequency modulation (PFM) control for OLED drivers and optical transducers
机译:
使用脉冲调频(PFM)控制OLED驱动器和光学传感器的新型单电感二极管多输出(SIBMO)升压转换器
作者:
Chun-Kai Chang
;
Chung-Hsin Su
;
Yung-Hua Kao
;
Ming-Hung Yu
;
Sauter Thilo
;
Chao Paul C.-P
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Single-inductor bipolar multiple-output (SIBMO);
constant on-time (COT);
organic light-emitting diode (OLED);
pulse frequency modulation (PFM);
5.
Stack based sense amplifier designs for reducing input-referred offset
机译:
基于堆栈的读出放大器设计,用于减少输入引用的偏移量
作者:
Boley James
;
Calhoun Benton
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Robust SRAM sense amplifiers;
high performance SRAM;
variation tolerance;
6.
Incremental ATPG methods for multiple faults under multiple fault models
机译:
多个故障模型下多个故障的增量ATPG方法
作者:
Fujita Masahiro
;
Taguchi Naoki
;
Iwata Kentaro
;
Mishchenko Alan
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
7.
Energy efficient design of DVB-T2 constellation demapper
机译:
DVB-T2星座脱帕珀的节能设计
作者:
Bahgat Nourhan M.
;
Khalil DiaaEldin S.
;
El-Ramly Salwa H.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
DVB-T2;
Energy efficient design;
FPGA prototype;
Projection-based de-mapping;
Rotated constellation;
SSD;
8.
Automatic die placement and flexible I/O assignment in 2.5D IC design
机译:
2.5D IC设计中自动模具放置和灵活的I / O分配
作者:
Seemuth Daniel P.
;
Davoodi Azadeh
;
Morrow Katherine
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
2.5D;
FPGA;
flexible I/Os;
interposer;
placement;
simulated annealing;
9.
Design optimization of sense amplifiers using deeply-scaled FinFET devices
机译:
使用深度缩放的FinFET设备设计读出放大器的优化
作者:
Shafaei Alireza
;
Yanzhi Wang
;
Petraglia Antonio
;
Pedram Massoud
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
10.
A logic difference generator with spare cells consideration for ECO synthesis
机译:
具有备用电池的逻辑差异发生器考虑ECO合成
作者:
Jui-Hung Hung
;
Yu-Cheng Lin
;
Wei-Kai Cheng
;
Tsai-Ming Hsieh
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
ECO;
functional ECO;
logic difference;
spare cell;
11.
Exploring shared memory and cache to improve GPU performance and energy efficiency
机译:
探索共享内存和缓存,以提高GPU性能和能源效率
作者:
Hao Wen
;
Wei Zhang
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
12.
User power-delay budget driven PSO based design space exploration of optimal k-cycle transient fault secured datapath during high level synthesis
机译:
基于用户电源延迟预算驱动的PSO基于PSO的设计空间探索最佳K周期瞬态故障安全性DataPath期间
作者:
Sengupta Anirban
;
Bhadauria Saumya
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
CDFG;
Fault;
HLS;
PSO;
delay;
k-cycle;
power;
transient;
13.
Ultra-fast variability-aware optimization of mixed-signal designs using bootstrapped kriging
机译:
超快速变化意识到混合信号设计的优化使用自引导kriging
作者:
Mohanty Saraju P.
;
Kougianos Elias
;
Yanambaka Venkata P.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Geostatistics;
Kriging;
Mixed-Signal Circuit;
Nano-CMOS;
Particle swarm optimization;
Process variation;
14.
Digital PUF using intentional faults
机译:
使用故意故障的Digital Puf
作者:
Teng Xu
;
Potkonjak Miodrag
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Intentional Faults;
Physical Unclonable Function (PUF);
Security;
Testing;
15.
Electrical characteristic and power consumption fluctuations of trapezoidal bulk FinFET devices and circuits induced by random line edge roughness
机译:
随机线边缘粗糙度诱导梯形散装FinFET器件和电路的电特性和功耗波动
作者:
Chieh-Yang Chen
;
Wen-Tsung Huang
;
Yiming Li
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Line edge roughness;
digital circuit;
fin-;
gateLER;
resist-;
sidewall-;
spacer-;
trapezoidal bulk FinFET;
16.
Novel adaptive power gating strategy of TSV-based multi-layer 3D IC
机译:
基于TSV的多层3D IC的新型自适应功率门控策略
作者:
Seungwon Kim
;
Seokhyung Kang
;
Ki Jin Han
;
Youngmin Kim
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
3D IC;
Power Gating;
Through-Silicon Vias (TSVs) Power Delivery Network (PDN);
Wake-up Time;
17.
TDTB error detecting latches: Timing violation sensitivity analysis and optimization
机译:
TDTB检测锁存器错误:定时违规敏感性分析和优化
作者:
Moreira Matheus T.
;
Hand Dylan
;
Beerel Peter /A/.
;
Calazans Ney L. V.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Error detecting latches;
glitch sensitivity;
timing violation;
18.
A low-power field-programmable analog array for wireless sensing
机译:
用于无线传感的低功耗现场可编程模拟阵列
作者:
Rumberg Brandon
;
Graham David W.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
19.
The low power design of SM4 cipher with resistance to differential power analysis
机译:
SM4密码具有耐差分功率分析的低功率设计
作者:
Yanbo Niu
;
Anping Jiang
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
PPRM;
S-box;
SM4-cipher;
additive masking;
20.
RT-MIL-STD-1553+: Remote terminal controller for MIL-STD-1553B at 100-Mb/s data rate
机译:
RT-MIL-STD-1553 +:用于MIL-STD-1553B的远程终端控制器,以100 MB / s数据速率
作者:
Pendyala Prateek
;
Pasupureddi Vijaya Sankara Rao
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
21.
A scan shifting method based on clock gating of multiple groups for low power scan testing
机译:
基于多个组的扫描变速方法,用于低功耗扫描测试
作者:
Sungyoul Seo
;
Yong Lee
;
Joohwan Lee
;
Sungho Kang
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Scan-based testing;
design-for-testability (DFT);
low power scan testing;
shifting power reduction;
22.
A fault prediction module for a fault tolerant NoC operation
机译:
故障预测模块用于容错NoC操作
作者:
Silveira Jarbas
;
Bodin Mathieu
;
Ferreira Joao Marcelo
;
Cadore Pinheiro Alan
;
Webber Thais
;
Marcon Cesar
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Fault-tolerance;
NoC;
latency evaluation;
23.
A distinctive O(mn) time algorithm for optimal buffer insertions
机译:
用于最佳缓冲区插入的独特O(MN)时间算法
作者:
Xinsheng Wang
;
Wenpan Liu
;
Mingyan Yu
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Buffer insertion;
delay;
interconnect;
time complexity;
24.
Near optimal repair rate built-in redundancy analysis with very small hardware overhead
机译:
近最优修复率内置冗余分析,具有非常小的硬件开销
作者:
Woosung Lee
;
Keewon Cho
;
Jooyoung Kim
;
Sungho Kang
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Built-in Self Repair (BISR);
Built-in redundancy analysis (BIRA);
yield improvement;
25.
Task partitioning optimization algorithm for energy saving and load balance on NoC-based MPSoCs
机译:
基于NOC的MPSOC节省节能和负载平衡的任务分区优化算法
作者:
Stefani Marco P.
;
Webber Thais
;
Fernandes Ramon
;
Cataldo Rodrigo
;
Poehls Leticia B.
;
Marcon Cesar
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
NoC;
Partitioning algorithm;
energy efficiency;
homogeneous MPSoC;
load balance;
performance evaluation;
26.
A 2-layer laser multiplexed photonic network-on-chip
机译:
2层激光复用光子网上
作者:
Dang Dharanidhar
;
Patra Biplab
;
Mahapatra Rabi
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
27.
Adaptive tracking channel control for GNSS receivers under renewable energy
机译:
可再生能源下GNSS接收器的自适应跟踪信道控制
作者:
Wenjie Huang
;
Lei Wang
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
GNSS receivers;
adaptive tracking channels;
renewable energy;
28.
Employing dynamic body-bias for short circuit power reduction in SRAMs
机译:
采用动态体偏置,用于SRAM的短路功率降低
作者:
Mert Yakup Murat
;
Simsek Osman Seckin
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
CMOS;
Dynamic body-bias;
SRAM;
short-circuit power;
29.
Temperature-aware thread assignment of many-core processor
机译:
多核处理器的温度感知线程分配
作者:
SheXiao Xuan
;
Yang Y.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Many-core processor;
Thermal management;
Thread assignment;
30.
Technology/circuit co-optimization and benchmarking for graphene interconnects at Sub-10nm technology node
机译:
SUB-10NM技术节点的石墨烯互连技术/电路协同优化和基准测试
作者:
Chenyun Pan
;
Raghavan Praveen
;
Catthoor Francky
;
Tokei Zsolt
;
Naeemi Azad
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
32-bit adder;
Multi-layer graphene interconnect;
SRAM;
circuit-level simulation;
delay;
energy-delay product;
performance;
31.
Fault-tolerant methods for a new lightweight cipher SIMON
机译:
新轻量级密码西蒙的容错方法
作者:
Dofe Jaya
;
Reed Connor
;
Ning Zhang
;
Qiaoyan Yu
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
SIMON;
block cipher;
fault tolerance;
reliability;
32.
Layout-aware analog synthesis environment with yield consideration
机译:
具有产量考虑的布局感知模拟合成环境
作者:
Hsin-Ju Chang
;
Yen-Lung Chen
;
Yeh Conan
;
Liu Chien-Nan Jimmy
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Analog synthesis;
Layout-aware sizing;
Yield-aware sizing;
33.
On improving the range of inductive proximity sensors for avionic applications
机译:
提高航空应用应用近距离传感器范围
作者:
Leons Paul
;
Yaghoubian Aryan
;
Cowan Glenn
;
Trajkovic Jelena
;
Nazon Yvon
;
Abdi Samar
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Proximity sensing;
avionics;
cyber-physical systems;
sensor characterization;
34.
Hardened design based on advanced orthogonal Latin code against two adjacent multiple bit upsets (MBUs) in memories
机译:
基于先进正交的拉丁语代码对读数两个相邻的多个距离upsets(MBUS)的高级正交拉丁语设计的硬化设计
作者:
Liyi Xiao
;
Jiaqiang Li
;
Jie Li
;
Jing Guo
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Error Correction Codes (ECCs);
Memory;
Multiple Bit Upsets (MBUs);
Orthogonal Latin Square Codes (OLS);
35.
On-line reliability-aware dynamic power management for real-time systems
机译:
用于实时系统的在线可靠性感知动态电源管理
作者:
Ming Fan
;
Qiushi Han
;
Shuo Liu
;
Gang Quan
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Dynamic Power Management;
Real-Time;
Reliability;
36.
Optimal choice of FinFET devices for energy minimization in deeply-scaled technologies
机译:
深度缩放技术中能量最小化FinFET设备的最佳选择
作者:
Abrishami Mohammad Saeed
;
Shafaei Alireza
;
Yanzhi Wang
;
Pedram Massoud
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
37.
Enhancing system-wide power integrity in 3D ICs with power gating
机译:
用电力门控提高3D IC中的系统范围的功率完整性
作者:
Hailang Wang
;
Salman Emre
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
3D IC;
Power delivery;
power gating;
38.
Low power scheduling in high-level synthesis using dual-V
th
library
机译:
使用Dual-V
TH INF>库中高电平合成中的低功率调度
作者:
Ghandali Samaneh
;
Alizadeh Bijan
;
Navabi Zainalabedin
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
High-level synthesis;
dual-V;
low power;
scheduling;
39.
A comparative analysis of symmetric and asymmetric dual-k spacer FinFETs from device and circuit perspectives
机译:
从设备和电路视角来对称和不对称双k间隔鳍片的比较分析
作者:
Pal Pankaj Kumar
;
Kaushik B.K.
;
Anand B.
;
DasGupta S.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Comparative analysis;
Fin field effect transistor (FinFET);
dual-k spacers;
electrostatic integrity;
fringe capacitance;
short channel effects (SCEs);
spacer engineering;
underlap device;
40.
Trading-off system load and communication in mapping heuristics for improving NoC-based MPSoCs reliability
机译:
用于提高基于NOC的MPSCS可靠性的绘制启发式系统负荷和通信
作者:
Mandelli Marcelo
;
Ost Luciano
;
Sassatelli Gilles
;
Moraes Fernando
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
MPSoC;
NoC;
dynamic mapping;
lifetime;
reliability;
41.
A low area calibration technique of TDC using variable clock generator for accurate on-line delay measurement
机译:
用于DDC的低区域校准技术,可变时钟发生器精确在线延迟测量
作者:
Katoh Kentaroh
;
Namba Kazuteru
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Aging;
Calibration;
On-Line Delay Measurement;
Process Variation;
TDC;
Variable Clock Generator;
42.
Design of a sigma-delta modulator in standard CMOS process for wide-temperature applications
机译:
宽温度应用标准CMOS工艺中SIGMA-DERTA调制器的设计
作者:
Yucai Wang
;
Chodavarapu Vamsy P.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Analog to Digital Converter;
CMOS;
Wide-temperature;
sensor interface circuits;
43.
Energy reduction by built-in body biasing with single supply voltage operation
机译:
通过单电源电压操作内置体偏置能量减少
作者:
Kamae Norihiro
;
Mahfuzul Islam A.K.M.
;
Tsuchiya Akira
;
Ishihara Tohru
;
Onodera Hidetoshi
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Body biasing;
adaptive body biasing;
energy-per-cycle reduction;
44.
Study of the impact of aging on many-core energy-efficient DSP systems
机译:
老化对多核节能DSP系统的影响研究
作者:
Srivastav Meeta
;
Nazhandali Leyla
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
45nm;
Aging;
DSP;
DVFS;
HCI;
MOSRA;
NBTI;
PTM;
low-power;
many-core;
process variation;
voltage scaling;
45.
Clock skew optimization for maximizing time margin by utilizing flexible flip-flop timing
机译:
通过利用灵活的触发器定时来最大限度地利用时钟偏斜优化
作者:
Hyungjung Seo
;
Jeongwoo Heo
;
Taewhan Kim
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
46.
Tabu search based multiple voltage scheduling under both timing and resource constraints
机译:
在时间和资源约束下基于禁忌搜索的多电压调度
作者:
Jianmo Ni
;
Nan Wang
;
Yoshimura Takeshi
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Multiple voltage scheduling;
tabu search;
vector representation;
47.
Method for efficient flash bit cell current compression in deeply erased bits
机译:
在深度擦除位中有效闪光位电流压缩的方法
作者:
Nafziger Jon
;
Burggraf Dan
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
BCC;
VT;
compression;
erase;
flash memory;
memory test;
48.
Scratch-pad memory banking by dynamic programming for embedded data-intensive applications
机译:
通过动态编程进行嵌入式数据密集型应用程序的刮擦垫存储器银行
作者:
Balasa Florin
;
Abuaesh Noha
;
Luican Ilie /I/.
;
David Zhu Hongwei
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
49.
Novel self-calibrating recycling sensor using Schmitt-Trigger and voltage boosting for fine-grained detection
机译:
新颖的自校准回收传感器,使用Schmitt触发和电压升压用于细粒度检测
作者:
Cheng Wei Lin
;
Ghosh Swaroop
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
HCI;
IC Trust;
NBTI;
Recycled IC;
Recycling Senor;
Schmitt Trigger;
50.
A digitally-controlled power-aware low-dropout regulator to reduce standby current drain in ultra-low-power MCU
机译:
一种数字控制的功率感知低压丢失调节器,以减少超低功耗MCU中的待机电流漏极
作者:
Mazumdar Kaushik
;
Bartling Steven
;
Khanna Sudhanshu
;
Stan Mircea
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
LDO;
MCU;
energy-harvesting;
standby-mode efficiency;
51.
Optimum domain partitioning to increase functional verification coverage
机译:
提高功能验证覆盖率的最佳域分区
作者:
Mani Paret Jomu George
;
Mohamed Otmane Ait
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
52.
Fast obstacle-avoiding octilinear steiner minimal tree construction algorithm for VLSI design
机译:
快速障碍 - 避免八角形施特纳最小树施工算法VLSI设计
作者:
Xing Huang
;
Wenzhong Guo
;
Guolong Chen
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
VLSI routing;
obstacle-avoiding;
octilinear Steiner tree;
53.
An effective model for evaluating vertical propagation delay in TSV-based 3-D ICs
机译:
基于TSV的3-D ICS垂直传播延迟评估垂直传播延迟的有效模型
作者:
Watanabe Masayuki
;
Niioka Nanako
;
Kobayashi Tetsuya
;
Karel Rosely
;
Fukase Masa-aki
;
Imai Masashi
;
Kurokawa Atsushi
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
3-D IC;
Delay;
closed-form expression;
sensitivity analysis;
through silicon via (TSV);
54.
Temperature aware refresh for DRAM performance improvement in 3D ICs
机译:
温度感知刷新3D IC中的DRAM性能改进
作者:
Menglong Guan
;
Lei Wang
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
3D ICs;
3D Stacked DRAM;
DRAM refresh;
Temperature aware refresh policy;
55.
RFID indoor localization based on Doppler effect
机译:
基于多普勒效应的RFID室内定位
作者:
Tesch Deivid /A/.
;
Berz Everton L.
;
Hessel Fabiano P.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Doppler Effect;
Indoor Localization;
IoT;
RFID;
56.
Partially depleted silicon-on-ferroelectric insulator field effect transistor (PD-SOFFET)
机译:
部分耗尽的硅 - 铁电绝缘体励磁效应晶体管(PDF-Soffit)
作者:
Es-Sakhi Azzedin D.
;
Chowdhury Masud H.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Ferroelectric Insulator;
Negative Capacitance;
Partially Depleted Silicon-on-Insulator Device;
Subthreshold Logic and Subthreshold Swing;
57.
Design and analysis of novel SRAM PUFs with embedded latch for robustness
机译:
具有嵌入式闩锁的新型SRAM PUF的设计与分析鲁棒性
作者:
Jae-Won Jang
;
Ghosh Swaroop
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Hardware Security;
Non-Volatile SRAM PUF;
Physically Unclonable Function;
Robust PUF;
SRAM PUF;
58.
Designing low-V
Th
STT-RAM for write energy reduction in scaled technologies
机译:
设计低V
TH INF> STT-RAM,用于缩放技术的写入能量降低
作者:
Yahya Farah B.
;
Mansour Mohammad M.
;
Tschanz James
;
Khellah Muhammad M.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Digital driver;
MTJ;
STT-RAM;
non-volatile memory;
write energy;
59.
Design of an incoherent IR-UWB receiver front-end in 180-nm CMOS technology
机译:
180-NM CMOS技术的非连锁IR-UWB接收器前端设计
作者:
Jihai Duan
;
Qiangyu Hao
;
Yu Zheng
;
Baolin Wei
;
Weilin Xu
;
Shichao Xu
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Correlator;
Energy detect;
LNA;
UWB Receiver;
60.
6-T SRAM performance assessment with stacked silicon nanowire MOSFETs
机译:
用堆叠硅纳米线MOSFET进行6-T SRAM性能评估
作者:
Ya-Chi Huang
;
Meng-Hsueh Chiang
;
Wei-Chou Hsu
;
Shiou-Ying Cheng
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
6-T SRAM;
gate-all-around (GAA) MOSFET;
stacked nanowire;
61.
Resource allocation methodology for through silicon vias and sleep transistors in 3D ICs
机译:
通过3D IC中的硅通孔和睡眠晶体管的资源分配方法
作者:
Hailang Wang
;
Salman Emre
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
3D IC;
TSV;
power gating;
sleep transistor;
62.
Design and performance parameters of an ultra-low voltage, single supply 32bit processor implemented in 28nm FDSOI technology
机译:
超低电压的设计和性能参数,单电源32位处理器在28nm FDSOI技术中实现
作者:
Clerc S.
;
Abouzeid F.
;
Patel D.A.
;
Daveau J.-M.
;
Bottoni C.
;
Ciampolini L.
;
Giner F.
;
Meyer D.
;
Wilson R.
;
Roche P.
;
Naudet S.
;
Virazel A.
;
Bosio A.
;
Girard P.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Energy efficient Cache;
FDSOI;
RISC;
ULV;
63.
Architectural reliability estimation using design diversity
机译:
使用设计多样性的架构可靠性估算
作者:
Zheng Wang
;
Liu Yang
;
Chattopadhyay Anupam
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Design Diversity;
High-level Architecture Design;
Reliability Estimation;
64.
Enhancing performance of wireless NoCs with distributed MAC protocols
机译:
增强具有分布式MAC协议的无线NOCs的性能
作者:
Duraisamy Karthi
;
Kim Ryan Gary
;
Pande Partha Pratim
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
65.
Designing effective scan compression solutions for industrial circuits
机译:
为工业电路设计有效扫描压缩解决方案
作者:
Chebiyam Subramanian
;
Chandra Anshuman
;
Kapur Rohit
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
66.
Crosstalk-aware signal probability-based dynamic statistical timing analysis
机译:
基于串扰感知的信号概率的动态统计时间分析
作者:
Yao Chen
;
Kahng Andrew B.
;
Bao Liu
;
Wenjun Wang
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
67.
Analysis and optimization of flip-flops under process and runtime variations
机译:
过程和运行时变化下触发器的分析与优化
作者:
Golanbari Mohammad Saber
;
Kiamehr Saman
;
Tahoori Mehdi B.
;
Nassif Sani
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Flip-Flop;
Process Variation;
Reliability;
Runtime Variation;
68.
Virtual logic netlist: Enabling efficient RTL analysis
机译:
虚拟逻辑网列表:启用高效的RTL分析
作者:
Rachamalla Spandana
;
Joseph Arun
;
Rao Rahul
;
Pandey Diwesh
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
RTL analysis;
early design analysis;
virtual logic netlist;
69.
Equivalence checking of scheduling in high-level synthesis
机译:
高级合成调度的等价检查
作者:
Tun Li
;
Jian Hu
;
Yang Guo
;
Sikun Li
;
Qingping Tan
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
70.
Impact of geometry parameter on electromigration reliability in FCBGA package
机译:
几何参数对FCBGA包装电迁移可靠性的影响
作者:
Lihua Liang
;
Yuanxiang Zhang
;
Rao Richard
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
FCBGA package;
atomic density integral method;
electromigration;
orthogonal experimental design;
time to failure;
71.
Advanced encryption system with dynamic pipeline reconfiguration for minimum energy operation
机译:
具有动态管道重新配置的高级加密系统,用于最小能量操作
作者:
Chellappa Srivatsan
;
Ramamurthy Chandarasekaran
;
Vashishtha Vinay
;
Clark Lawrence T.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
IC power;
advanced encryption standard;
dynamic voltage scaling;
pipeline stage unification;
pulse latch;
72.
Irregularly shaped voltage islands generation with hazard and heal strategy
机译:
具有危险和治愈策略的不规则形状的电压岛生成
作者:
Zhen Meng
;
Song Chen
;
Lu Huang
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Floorplan;
Irregular Shaped Voltage Island;
Sequence Pair;
level shifter;
73.
An energy-efficient on-chip memory structure for variability-aware near-threshold operation
机译:
用于可变性感知近阈值操作的节能片内存结构
作者:
Shiomi Jun
;
Ishihara Tohru
;
Onodera Hidetoshi
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
74.
Domain wall motion-based low power hybrid spin-CMOS 5-bit Flash Analog Data Converter
机译:
基于域壁运动的低功耗混合旋转CMOS 5位闪光模拟数据转换器
作者:
Yogendra Karthik
;
Mei-Chin Chen
;
Xuanyao Fong
;
Roy Kaushik
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
CMOS differential latch;
Domain wall Motion;
Flash ADC;
Magnetic Tunneling Junction;
75.
Rapid heterogeneous prototyping from Simulink
机译:
Simulink的快速异构原型设计
作者:
Shen Feng
;
Driscoll Chris
;
Fevold Jerediah
;
Hao Jiang
;
Schirner Gunar
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
76.
Improved pipeline data flow for DySER-based platform
机译:
改进了基于粘滞的平台的管道数据流
作者:
Hou Zijian
;
Chen Xin
;
He Weifeng
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
DySER;
Reconfigurable system;
computing flow;
pipeline;
reconfigurable cell;
77.
Thermal sensor allocation for SoCs based on temperature gradients
机译:
基于温度梯度的SOC热传感器分配
作者:
Jun Yong Shin
;
Kurdahi Fadi
;
Dutt Nikil
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Computer vision;
temperature gradient;
thermal profiles;
thermal sensors;
78.
Novel SAT-based invariant-directed low-power synthesis
机译:
基于新的SAT的不变导向低功耗合成
作者:
Elbayoumi Mahmoud
;
Hsiao Michael S.
;
ElNainay Mustafa
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
79.
Large-scale multi-corner leakage optimization under the sign-off timing environment
机译:
签名时机环境下的大规模多角漏优化
作者:
Gonzalez George
;
Mani Murari
;
Sharma Mahesh
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
80.
Exploring memory controller configurations for many-core systems with 3D stacked DRAMs
机译:
探索带3D堆叠DRAM的多核系统的内存控制器配置
作者:
Fen Ge
;
Jia Zhan
;
Yuan Xie
;
Narayanan Vijaykrishnan
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
3D Integration;
Network-on-Chip;
memory controller;
81.
A router for via configurable structured ASIC with standard cells and relocatable IPs
机译:
带有标准单元格和可重定位IP的可配置结构化ASIC的路由器
作者:
Chiung-Chih Ho
;
Hsin-Pei Tsai
;
Liang-Chi Lai
;
Rung-Bin Lin
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
IP;
router;
standard cell;
structured ASIC;
via configurable;
82.
Novel technique for P-hit single-event transient mitigation using enhance dummy transistor
机译:
使用增强虚拟晶体管的P-击中单事件瞬态缓解的新技术
作者:
Wang TianQi
;
Xiao LiYi
;
Huo MingXue
;
Qi ChunHua
;
Liu ShanShan
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Single event transient (SET);
enhance dummy transistor;
radiation hardened by design (RHBD);
technology computer aided design (TCAD);
83.
A novel approach to IC, package and board co-optimization
机译:
IC,包装和董事会共同优化的一种新方法
作者:
Brist Gary
;
Park John
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Chip-Package Interaction;
Co-Design;
Path Finding;
84.
LBIST pattern reduction by learning ATPG test cube properties
机译:
通过学习ATPG测试立方体属性来减少Lbist模式
作者:
Contreras Gustavo K.
;
Yang Zhao
;
Ahmed Nisar
;
Winemberg LeRoy
;
Tehranipoor Mohammad
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
85.
Cache-aware SPM allocation algorithms for hybrid SPM-cache architectures
机译:
用于混合SPM缓存架构的缓存感知SPM分配算法
作者:
Lan Wu
;
Wei Zhang
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Cache Memories;
Model Checking;
SPM Allocation;
Scratch-Pad Memories (SPMs);
Stack Distance;
86.
Exploiting abstraction, learning from random simulation, and SVM classification for efficient dynamic prediction of software health problems
机译:
利用抽象,从随机仿真学习,以及软件健康问题高效动态预测的SVM分类
作者:
Velev Miroslav N.
;
Chaoqiang Zhang
;
Ping Gao
;
Groce Alex D.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Abstraction;
SVM;
learning;
software health monitoring;
87.
GlYFF: A framework for global yield and floorplan aware design optimization
机译:
Glyff:全球产量和地板意识设计优化的框架
作者:
Shuo Wang
;
Yue Gao
;
Breuer Melvin /A/.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Design for yield;
floor plan;
hardware redundancy insertion;
88.
Orchestrated application quality and energy storage management in solar-powered embedded systems
机译:
太阳能嵌入式系统中的策划应用质量和能量存储管理
作者:
Nga Dang
;
Tajik Hossein
;
Dutt Nikil
;
Venkatasubramanian Nalini
;
Bozorgzadeh Eli
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Energy harvesting;
Quality of Service;
battery lifetime;
hybrid energy storage;
89.
Unreachable code identification for improved line coverage
机译:
改进线路覆盖的无法访问的代码识别
作者:
Pierce Luke
;
Tragoudas Spyros
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Boolean Satisfiability;
Dead Code;
Line Coverage;
Static Code Analysis;
Unreachable Code;
90.
Signal domain based reachability analysis in RTL circuits
机译:
基于信号域的RTL电路的可达性分析
作者:
Bagri Sharad
;
Gent Kelson
;
Hsiao Michael S.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
RTL;
Reachability;
Signal Domain;
Verification;
91.
A hypervisor approach with real-time support to the MIPS M5150 processor
机译:
对MIPS M5150处理器的实时支持的虚拟机管理程序方法
作者:
Zampiva Samir
;
Moratelli Carlos
;
Hessel Fabiano
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Embedded Systems;
Virtualization;
hardware-assisted virtualization;
real-time;
92.
Fast synthesis of low power clock trees based on register clustering
机译:
基于寄存器聚类的低功率时钟树快速合成
作者:
Chao Deng
;
Yici Cai
;
Qiang Zhou
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Clock Tree Synthesis;
Low Power;
Register Clustering;
93.
Separation of concerns for hardware components of embedded systems in BIP
机译:
在BIP中分离嵌入式系统硬件组件的关注
作者:
Safieddine Maya H.
;
Kanj Rouwaida
;
Zaraket Fadi /A/.
;
Elzein Ali
;
Jaber Mohamad
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Design-for-Test;
Embedded systems framework;
concern insertion;
verification;
94.
Accurate standard cell characterization and statistical timing analysis using multivariate adaptive regression splines
机译:
使用多变量自适应回归样条显示准确的标准单元特征和统计时序分析
作者:
Taizhi Liu
;
Chang-Chih Chen
;
Milor Linda
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Standard cell characterization;
statistical timing analysis;
95.
A 4–14 Gbps inductor-less adaptive linear equalizer using hybrid filter in 65 nm CMOS technology
机译:
使用混合滤波器在65nm CMOS技术中使用混合滤波器的4-14 Gbps电感的自适应线性均衡器
作者:
Talluri Govardhana Rao
;
Rakesh K.K.
;
Baghini Maryam Shojaei
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
96.
Cells reconfiguration around defects in CMOS/nanofabric circuits using simulated evolution heuristic
机译:
使用模拟演进启发式细胞重新配置CMOS /纳米谱电路的缺陷
作者:
Arafeh Abdalrahman M.
;
Sait Sadiq M.
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
CMOL;
Defects;
Design Automation;
Nanofabrics;
Reconfiguration;
Simulated Evolution;
VLSI;
97.
High-performance and high-yield 5 nm underlapped FinFET SRAM design using P-type access transistors
机译:
使用P型接入晶体管高性能和高产5nm漏出的Finfet SRAM设计
作者:
Yarmand Roohollah
;
Ebrahimi Behzad
;
Afzali-Kusha Hassan
;
Afzali-Kusha Ali
;
Pedram Massoud
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
5 nm;
SRAM;
asymmetric S/D;
power;
underlapped FinFET;
yield;
98.
Adaptive mode assignment in performance-critical cyber-physical systems
机译:
性能关键性网络物理系统中的自适应模式分配
作者:
Zhaohui Yuan
;
Rong Zhu
;
Yiqin Cao
;
Guifen Jiang
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
99.
Preemptive built-in self-test for in-field structural testing
机译:
用于现场结构测试的先发型内置自检
作者:
Sismanoglou Panagiotis
;
Pitsios Vlasis
;
Nikolos Dimitris
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Built-In Self-Test;
In-field testing;
idle time;
logic BIST;
non-destructive test;
online testing;
system test;
test data compression;
100.
Efficient static D-latch standard cell characterization using a novel setup time model
机译:
使用新颖设置时间模型有效静态D锁定标准单元特性
作者:
Sharma Arvind
;
Sharma Yogendra
;
Dasgupta Sudeb
;
Anand Bulusu
会议名称:
《International Symposium on Quality Electronic Design》
|
2015年
关键词:
Look Up Table (LUT);
Process-Voltage-Temperature (PVT) variations;
Setup time;
standard cell library characterization;
上一页
1
2
3
4
5
6
7
8
9
10
11
下一页
意见反馈
回到顶部
回到首页