掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Symposium on Quality Electronic Design
International Symposium on Quality Electronic Design
召开年:
2013
召开地:
Santa Clara, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
1986
条结果
1.
A droop measurement built-in self-test circuit for digital low-dropout regulators
机译:
用于数字低压丢弃调节器的下垂测量内置自检电路
作者:
Aydin Dirican
;
Cagatay Ozmen
;
Martin Margala
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Detectors;
Built-in self-test;
Capacitors;
Clocks;
Voltage measurement;
Voltage control;
MOS devices;
2.
An automated design flow for synthesis of optimal multi-layer multi-shape PCB coils for inductive sensing applications
机译:
用于合成最佳多层多形PCB线圈的自动化设计流程,用于电感传感应用
作者:
Pradeep Kumar Chawda
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Coils;
Tools;
Layout;
Sensors;
Inductance;
Spirals;
Inductors;
3.
When “things” get older: Exploring circuit aging in IoT applications
机译:
当“事物”变老时:在IOT应用程序中探索电路老化
作者:
Xinfei Guo
;
Vaibhav Verma
;
Patricia Gonzalez-Guerrero
;
Mircea R. Stan
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Aging;
Transistors;
Stress;
Degradation;
Human computer interaction;
Integrated circuit reliability;
4.
A path to energy-efficient spiking delayed feedback reservoir computing system for brain-inspired neuromorphic processors
机译:
用于节能尖刺延迟反馈储层储层系统的途径,用于脑激发的神经形态处理器
作者:
Kangjun Bai
;
Yang Yi Bradley
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Reservoirs;
Neurons;
Delays;
Nonlinear dynamical systems;
Feedback loop;
Electric potential;
Encoding;
5.
Design and evaluation of physical unclonable function for inorganic printed electronics
机译:
无机印刷电子物质不可渗透功能的设计与评价
作者:
Ahmet Turan Erozan
;
Mohammad Saber Golanbari
;
Rajendra Bishnoi
;
Jasmin Aghassi-Hagmann
;
Mehdi B. Tahoori
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Transistors;
Integrated circuit modeling;
Mathematical model;
Reliability;
Fabrication;
Measurement;
Resistors;
6.
Securing FPGA-based obsolete component replacement for legacy systems
机译:
保护基于FPGA的过时组件更换遗留系统
作者:
Zhiming Zhang
;
Laurent Njilla
;
Charles Kamhoua
;
Kevin Kwiat
;
Qiaoyan Yu
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Field programmable gate arrays;
Aging;
Tools;
Hardware;
Trojan horses;
Pins;
Security;
7.
Back-bias generator for post-fabrication threshold voltage tuning applications in 22nm FD-SOI process
机译:
用于后偏置发生器,用于制造后的22nm FD-SOI过程中的制造后阈值电压调谐应用
作者:
Arif Siddiqi
;
Navneet Jain
;
Mahbub Rashed
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
MOS devices;
Frequency measurement;
Substrates;
Clocks;
Tuning;
Silicon;
Charge pumps;
8.
Test set identification for improved delay defect coverage in the presence of statistical delays
机译:
试验统计延迟存在改善延迟缺陷覆盖的识别
作者:
Pavan Kumar Javvaji
;
Basim Shanyour
;
Spyros Tragoudas
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Delays;
Logic gates;
Matrix decomposition;
Machine learning;
Circuit faults;
Clocks;
Robustness;
9.
Low power latch based design with smart retiming
机译:
基于低功耗锁存器的设计,具有智能重度
作者:
Kamlesh Singh
;
Hailong Jiao
;
Jos Huisken
;
Hamed Fatemi
;
José Pineda de Gyvez
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Latches;
Power demand;
Clocks;
Timing;
Pipelines;
Integrated circuits;
Flip-flops;
10.
Clock buffer and flip-flop co-optimization for reducing peak current noise
机译:
时钟缓冲器和触发器共同优化,用于降低峰值电流噪声
作者:
Joohan Kim
;
Taewhan Kim
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Clocks;
Inverters;
Latches;
Junctions;
Switches;
Power grids;
Flip-flops;
11.
Comparative study and prediction modeling of photonic ring Network on Chip architectures
机译:
芯片架构上光环网络的比较研究与预测建模
作者:
Sara Karimi
;
Jelena Trajkovic
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Predictive models;
Optical waveguides;
Optical fiber networks;
Optical resonators;
Space exploration;
Energy consumption;
Integrated circuit modeling;
12.
PDA-HyPAR: Path-diversity-aware hybrid planar adaptive routing algorithm for 3D NoCs
机译:
PDA-hypar:3D Nocs的路径 - 分集感知混合平面自适应路由算法
作者:
Jindun Dai
;
Renjie Li
;
Xin Jiang
;
Takahiro Watanabe
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
integrated circuit modelling;
network routing;
network-on-chip;
three-dimensional integrated circuits;
13.
An automated flow for design validation of switched mode power supply
机译:
用于开关模式电源的设计验证的自动流量
作者:
Pradeep Kumar Chawda
;
Shrikrishna Srinivasan
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Integrated circuit modeling;
Mathematical model;
Power supplies;
Analytical models;
Calculators;
Sensitivity;
14.
On the write energy of non-volatile resistive crossbar arrays with selectors
机译:
在具有选择器的非易失性电阻横杆阵列的写入能
作者:
Albert Ciprut
;
Eby G. Friedman
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Energy consumption;
Energy efficiency;
Leakage currents;
Switches;
Resistance;
Nonvolatile memory;
Phased arrays;
15.
Hierarchical dynamic goal management for IoT systems
机译:
IOT系统的分层动态目标管理
作者:
Axel Jantsch
;
Arman Anzanpour
;
Hedyeh Kholerdi
;
Iman Azimi
;
Lydia C. Siafara
;
Amir M. Rahmani
;
Nima TaheriNejad
;
Pasi Liljeberg
;
Nikil Dutt
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Monitoring;
Resource management;
Bandwidth;
Vehicle dynamics;
Task analysis;
Batteries;
Throughput;
16.
Network on interconnect fabric
机译:
网络互连面料上的网络
作者:
Boris Vaisband
;
Adeel Bajwa
;
Subramanian S. Iyer
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Integrated circuit interconnections;
Silicon;
Routing;
Fabrics;
Power conversion;
Substrates;
Global communication;
17.
Ultra-low swing CMOS transceiver for 2.5-D integrated systems
机译:
用于2.5-D集成系统的超低摆动CMOS收发器
作者:
Przemyslaw Mroszczyk
;
Vasilis F. Pavlidis
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Transmitters;
Receivers;
Integrated circuit interconnections;
Integrated circuit modeling;
Wires;
Transistors;
Transceivers;
18.
Measuring the effectiveness of ISO26262 compliant self test library
机译:
测量ISO26262兼容自检库的有效性
作者:
Frederico Pratas
;
Thomas Dedes
;
Andrew Webber
;
Majid Bemanian
;
Itai Yarom
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Safety;
Circuit faults;
Standards;
Hardware;
Measurement;
Software;
Built-in self-test;
19.
High performance training of deep neural networks using pipelined hardware acceleration and distributed memory
机译:
使用流水线硬件加速和分布式存储器的深神经网络的高性能培训
作者:
Raghav Mehta
;
Yuyang Huang
;
Mingxi Cheng
;
Shrey Bagga
;
Nishant Mathur
;
Ji Li
;
Jeffrey Draper
;
Shahin Nazarian
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Training;
Biological neural networks;
Hardware;
Neurons;
Backpropagation;
Bandwidth;
Field programmable gate arrays;
20.
A hardware-friendly algorithm for scalable training and deployment of dimensionality reduction models on FPGA
机译:
一种用于可扩展训练和FPGA维数减少模型的可扩展训练训练算法
作者:
Mahdi Nazemi
;
Amir Erfan Eshratifar
;
Massoud Pedram
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Hardware;
Dimensionality reduction;
Machine learning algorithms;
Training;
Principal component analysis;
Computational modeling;
21.
Parasitic-aware gm/I
D
-based many-objective analog/RF circuit sizing
机译:
寄生感知GM / I
D INF> - 基于多目标模拟/射频电路尺寸
作者:
Tuotian Liao
;
Lihong Zhang
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Optimization;
Mathematical model;
MOSFET;
Integrated circuit interconnections;
Semiconductor device modeling;
Estimation;
22.
Murphy was an optimist: Embracing asymmetry in electronics
机译:
墨菲是一个乐观主义者:在电子产品中拥抱不对称
作者:
Kerry Bernstein
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
23.
Double error cellular automata-based error correction with skip-mode compact syndrome coding for resilient PUF design
机译:
基于双误差蜂窝自动机基于跳过模式紧凑综合征编码的误差校正,用于弹性PUF设计
作者:
Anthony Mattar El Raachini
;
Hussein Alawieh
;
Adam Issa
;
Zainab Swaidan
;
Rouwaida Kanj
;
Ali Chehab
;
Mazen A. R. Saghir
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Error correction codes;
Encoding;
Decoding;
Automata;
Entropy;
Error correction;
Ring oscillators;
24.
Dynamic NoC platform for varied application needs
机译:
动态NOC平台,用于各种应用需求
作者:
Sidhartha Sankar Rout
;
Hemanta Kumar Mondai
;
Rohan Juneja
;
Sri Harsha Gade
;
Sujay Deb
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Power system management;
Computer architecture;
Switches;
Internet of Things;
Routing;
Switching circuits;
Transistors;
25.
Extracting hardware assertions including word-level relations over multiple clock cycles
机译:
提取包括多个时钟周期的单词级关系的硬件断言
作者:
Mami Miyamoto
;
Kiyoharu Hamaguchi
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Clocks;
Feature extraction;
Hardware;
Data mining;
Focusing;
Documentation;
Digital circuits;
26.
A post-silicon hold time closure technique using data-path tunable-buffers for variation-tolerance in sub-threshold designs
机译:
使用数据路径可调缓冲器的硅后置闭时间闭合技术,用于亚阈值设计中的变化 - 容差
作者:
Divya Akella Kamakshi
;
Xinfei Guo
;
Harsh N. Patel
;
Mircea R. Stan
;
Benton H. Calhoun
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Delays;
Clocks;
Flip-flops;
Physical design;
Generators;
Estimation;
Metals;
27.
Near-future traffic evaluation based navigation for automated driving vehicles considering traffic uncertainties
机译:
考虑交通不确定性的自动化驾驶车辆的近未来交通评估
作者:
Kuen-Wey Lin
;
Masanori Hashimoto
;
Yih-Lang Li
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Accidents;
Navigation;
Schedules;
Bars;
Uncertainty;
Prediction algorithms;
Heuristic algorithms;
28.
Resource constrained cellular neural networks for real-time obstacle detection using FPGAs
机译:
使用FPGA的实时障碍物检测资源受限蜂窝神经网络
作者:
Xiaowei Xu
;
Tianchen Wang
;
Qing Lu
;
Yiyu Shi
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Quantization (signal);
Field programmable gate arrays;
Real-time systems;
Hardware;
Task analysis;
Mathematical model;
Cellular neural networks;
29.
Energy efficient neuromorphic processing using spintronic memristive device with dedicated synaptic and neuron terminology
机译:
用专用突触和神经元术语使用旋转反应膜装置的能量有效的神经栓子加工
作者:
Zoha Pajouhi
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Neuromorphics;
Spintronics;
Memristors;
Couplings;
Neural networks;
Pattern recognition;
Neurons;
30.
Energy-optimal dynamic voltage scaling in multicore platforms with reconfigurable power distribution network
机译:
具有可重构配电网络的多核平台中的能量最优动态电压缩放
作者:
Juyeon Kim
;
Taewhan Kim
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Multicore processing;
Voltage control;
Task analysis;
Energy consumption;
Resource management;
Regulators;
Power conversion;
31.
Recognition of regular layout structures
机译:
识别常规布局结构
作者:
Yu-Cheng Chiang
;
Shr-Cheng Tsai
;
Rung-Bin Lin
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Layout;
Phased arrays;
Arrays;
Lithography;
Shape;
Robustness;
Random access memory;
32.
Enhancing circuit operation using analog floating gates
机译:
使用模拟浮动栅极提高电路操作
作者:
Ujas Patel
;
Sai Nimmalapudi
;
Harvey Stiegler
;
Andrew Marshall
;
Keith Jarreau
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Nonvolatile memory;
Programming;
Temperature;
Threshold voltage;
Transconductance;
Logic gates;
MOS devices;
33.
Generic system-level modeling and optimization for beyond CMOS device applications
机译:
超越CMOS设备应用程序的通用系统级模型和优化
作者:
Victor Huang
;
Chenyun Pan
;
Azad Naeemi
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Throughput;
TFETs;
Logic gates;
Optimization;
Performance evaluation;
Solid modeling;
Market research;
34.
Terahertz travelling wave amplifier design using Ballistic Deflection Transistor
机译:
太赫兹旅行波浪放大器设计使用弹道偏转晶体管
作者:
Huan Wang
;
Jean-Fran?ois Millithaler
;
Ronald W. Knepper
;
Martin Margala
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Transistors;
Logic gates;
Power transmission lines;
Simulation;
Strips;
Dielectrics;
Indium phosphide;
35.
A bi-memristor synapse with spike-timing-dependent plasticity for on-chip learning in memristive neuromorphic systems
机译:
具有峰值定时依赖性可塑性的双忆突突发,用于椎间膜神经晶体系统的片上学习
作者:
Sagarvarma Sayyaparaju
;
Sherif Amer
;
Garrett S. Rose
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
Synapses;
Memristors;
Neurons;
Flip-flops;
System-on-chip;
Clocks;
Resistance;
36.
A 125mV 2ns-access-time 16Kb SRAM design based on a 6T hybrid TFET-FinFET cell
机译:
基于6T杂交TFET-FINFET细胞的125MV 2NS访问时间16KB SRAM设计
作者:
Hassan Afzali-Kusha
;
Alireza Shafaei
;
Massoud Pedram
会议名称:
《International Symposium on Quality Electronic Design》
|
2018年
关键词:
SRAM cells;
FinFETs;
TFETs;
Inverters;
Power demand;
37.
Determining proximal geolocation of IoT edge devices via covert channel
机译:
通过封闭通道确定IOT边缘设备的近端地理位置
作者:
Md Nazmul Islam
;
Vinay C Patil
;
Sandip Kundu
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Geology;
IP networks;
Internet;
Tools;
Intelligent sensors;
Protocols;
38.
An electromagnetic fault injection sensor using Hogge phase-detector
机译:
使用Hogge相位检测器的电磁故障注入传感器
作者:
Jakub Breier
;
Shivam Bhasin
;
Wei He
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Circuit faults;
Detectors;
Phase locked loops;
Routing;
Phase frequency detector;
Frequency modulation;
Field programmable gate arrays;
39.
SRAM voltage scaling for energy-efficient convolutional neural networks
机译:
节能卷积神经网络的SRAM电压缩放
作者:
Lita Yang
;
Boris Murmann
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Random access memory;
Training;
Bit error rate;
Micromechanical devices;
Hardware;
40.
Methodologies to exploit ATPG tools for de-camouflaging
机译:
用于利用ATPG工具进行脱模的方法
作者:
Deepakreddy Vontela
;
Swaroop Ghosh
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Logic gates;
Tools;
Measurement;
Test pattern generators;
Circuit faults;
Reverse engineering;
41.
High sigma statistical hold time analysis in FinFET sequential circuits
机译:
FINFET顺序电路的高Sigma统计保持时间分析
作者:
Sam C. Lo
;
Taylor T. Lee
;
Aaron J. Barker
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Integrated circuit modeling;
Analytical models;
Microprocessors;
Statistical analysis;
SPICE;
Sequential circuits;
Libraries;
42.
Wireless charge recovery system for implanted electroencephalography applications in mice
机译:
小鼠植入型电气摄影应用的无线电荷回收系统
作者:
Leo Filippini
;
Diane Lim
;
Lunal Khuon
;
Baris Taskin
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Electroencephalography;
Mice;
Wireless communication;
Inductors;
Integrated circuits;
Energy consumption;
Magnetic circuits;
43.
Test challenges in embedded STT-MRAM arrays
机译:
嵌入式STT-MRAM阵列中的测试挑战
作者:
Insik Yoon
;
Arijit Raychowdhury
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Computer architecture;
Microprocessors;
Thermal stability;
Magnetic tunneling;
Resistance;
Testing;
Stability analysis;
44.
Tunnel FET based ultra-low-leakage compact 2T1C SRAM
机译:
基于隧道FET的超低泄漏紧凑型2T1C SRAM
作者:
Navneet Gupta
;
Adam Makosiej
;
Andrei Vladimirescu
;
Amara Amara
;
Costin Anghel
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
TFETs;
Capacitors;
Capacitance;
Logic gates;
Random access memory;
Latches;
Metals;
45.
A fast and ultra low power time-based spiking neuromorphic architecture for embedded applications
机译:
基于快速的超低功率时间的嵌入式应用的尖峰神经形状架构
作者:
Tao Liu
;
Wujie Wen
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Encoding;
Neurons;
Neuromorphics;
Training;
Decoding;
Kernel;
Engines;
46.
Wordline overdriving test: An effective predictive testing method for SRAMs against BTI aging
机译:
Wordline过度测试:对BTI老化的SRAM有效的预测测试方法
作者:
Jizhe Zhang
;
Sandeep K. Gupta
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Aging;
SRAM cells;
Testing;
Stability analysis;
Transistors;
Negative bias temperature instability;
47.
An energy efficient non-uniform Last Level Cache Architecture in 3D chip-multiprocessors
机译:
3D芯片多处理器中的节能非均匀级别缓存架构
作者:
Pooneh Safayenikoo
;
Arghavan Asad
;
Mahmood Fathy
;
Farah Mohammadi
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Encoding;
Benchmark testing;
Nonvolatile memory;
Delays;
Through-silicon vias;
Network interfaces;
Telecommunication traffic;
48.
Post-fabrication calibration of Near-Threshold circuits for energy efficiency
机译:
近阈值电路的后制造校准,以实现能效
作者:
Mohammad Saber Golanbari
;
Saman Kiamehr
;
Fabian Oboril
;
Anteneh Gebregiorgis
;
Mehdi B. Tahoori
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Runtime;
Threshold voltage;
Transistors;
Sensitivity;
Benchmark testing;
Power demand;
Calibration;
49.
Systematic approximate logic optimization using don't care conditions
机译:
使用不关心条件的系统近似逻辑优化
作者:
Sahand Salamat
;
Mehrnaz Ahmadi
;
Bijan Alizadeh
;
Masahiro Fujita
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Erbium;
Approximation algorithms;
Logic gates;
Boolean functions;
Approximate computing;
Circuit faults;
Hardware;
50.
Low temperature endurance failures on flash memory
机译:
闪存上的低温耐久性故障
作者:
Stephen Heinrich-Barna
;
Clyde Dunn
;
Doug Verret
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Programming;
Hot carriers;
Degradation;
Transistors;
MOS devices;
Reliability;
Logic gates;
51.
SHA-3 implementation using ReRAM based in-memory computing architecture
机译:
SHA-3使用基于Reram的内存计算架构实现
作者:
Debjyoti Bhattacharjee
;
Vikramkumar Pudi
;
Anupam Chattopadhyay
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Random access memory;
Zinc;
Nonvolatile memory;
Cryptography;
Arrays;
Loading;
52.
Low redundancy matrix-based codes for adjacent error correction with parity sharing
机译:
基于低冗余矩阵的代码,具有奇偶校验共享的相邻纠错
作者:
Shanshan Liu
;
Liyi Xiao
;
Jie Li
;
Yihan Zhou
;
Zhigang Mao
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Decoding;
Memory management;
Redundancy;
Error correction codes;
Random access memory;
Delays;
Organizations;
53.
Virtual characterization for exhaustive DFM evaluation of logic cell libraries
机译:
逻辑小区库穷举DFM评估的虚拟鉴定
作者:
Samuel Pagliarini
;
Mayler Martins
;
Lawrence Pileggi
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Libraries;
Silicon;
Tools;
Standards;
Shape;
Layout;
54.
Cooling architectures using thermal sidewalls, interchip plates, and bottom plate for 3D ICs
机译:
使用热侧壁,互换板和用于3D IC的底板的冷却架构
作者:
Kaoru Furumi
;
Masashi Imai
;
Atsushi Kurokawa
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Heat sinks;
Integrated circuits;
Three-dimensional displays;
Flip-chip devices;
Heat transfer;
55.
Performance- and energy-aware optimization of BEOL interconnect stack geometry in advanced technology nodes
机译:
高级技术节点中BEOL互连堆叠几何的性能和能量感知优化
作者:
Kwangsoo Han
;
Andrew B. Kahng
;
Hyein Lee
;
Lutong Wang
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Delays;
Wires;
Integrated circuit interconnections;
Metals;
Optimization;
Geometry;
Load modeling;
56.
Fast and energy-aware resource provisioning and task scheduling for cloud systems
机译:
云系统的快速和能量感知资源配置和任务调度
作者:
Hongjia Li
;
Ji Li
;
Wang Yao
;
Shahin Nazarian
;
Xue Lin
;
Yanzhi Wang
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Servers;
Micromechanical devices;
Cloud computing;
Power demand;
Scheduling;
Resource management;
Processor scheduling;
57.
Evaluating the benefits of relaxed BEOL pitch for deeply scaled ICs
机译:
评估轻松BEOL音调的益处,深度缩放IC
作者:
Mehmet Meric Isgenc
;
Samuel Pagliarini
;
Renzhi Liu
;
Larry Pileggi
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Wires;
Capacitance;
Metals;
Routing;
Couplings;
Ice;
Timing;
58.
Low latency divider using ensemble of moving average curves
机译:
使用移动平均曲线的集合的低延迟分频器
作者:
Yuhan Fu
;
Masayuki Ikebe
;
Takeshi Shimada
;
Tetsuya Asai
;
Masato Motomura
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Signal processing algorithms;
Hardware;
Interpolation;
Mathematical model;
Computer architecture;
Linear approximation;
Optimization;
59.
Broadcast scan compression based on deterministic pattern generation algorithm
机译:
基于确定性模式生成算法的广播扫描压缩
作者:
Hyeonchan Lim
;
Sungyoul Seo
;
Soyeon Kang
;
Sungho Kang
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Computer architecture;
Algorithms;
Integrated circuits;
Circuit faults;
Linear feedback shift registers;
Benchmark testing;
Test data compression;
60.
Energy efficient analog spiking temporal encoder with verification and recovery scheme for neuromorphic computing systems
机译:
具有神经形态计算系统验证和恢复方案的节能模拟尖峰颞编码器
作者:
Chenyuan Zhao
;
Jialing Li
;
Hongyu An
;
Yang Yi
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Encoding;
Neurons;
Transistors;
Neuromorphics;
Power demand;
Correlation;
Hardware;
61.
Towards lightweight Identity-Based Encryption for the post-quantum-secure Internet of Things
机译:
对基于轻量级的身份的加密,为Quantum-Secure Internet互联网
作者:
Tim Güneysu
;
Tobias Oder
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Encryption;
Microcontrollers;
Identity-based encryption;
Internet of Things;
62.
Stochastic-based multi-stage streaming realization of deep convolutional neural network
机译:
基于随机基础的深卷积神经网络的多级流实现
作者:
Mohammed Alawad
;
Mingjie Lin
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Hardware;
Convolution;
Kernel;
Computer architecture;
Field programmable gate arrays;
Probability density function;
Biological neural networks;
63.
Keynotes
机译:
keynotes.
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
64.
Performance-thermal trade-offs for a VFI-enabled 3D NoC architecture
机译:
用于VFI的3D NoC架构的性能 - 热折衷
作者:
Dongjin Lee
;
Sourav Das
;
Partha Pratim Pande
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Three-dimensional displays;
Switches;
Optimization;
Energy consumption;
Through-silicon vias;
Computer architecture;
65.
Low-overhead implementation of logic encryption using gate replacement techniques
机译:
使用栅极替换技术低开销逻辑加密实现
作者:
Xiaoming Chen
;
Qiaoyi Liu
;
Yu Wang
;
Qiang Xu
;
Huazhong Yang
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Logic gates;
Encryption;
Delays;
Wires;
Integrated circuits;
Hamming distance;
66.
Workload-aware ASIC flow for lifetime improvement of multi-core IoT processors
机译:
工作负载感知ASIC流程,用于多核IOT处理器的终身改进
作者:
Scott Lerner
;
Baris Taskin
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Multicore processing;
Switches;
Reliability engineering;
Program processors;
Negative bias temperature instability;
67.
3D-NOCET: A tool for implementing 3D-NoCs based on the Direct-Elevator algorithm
机译:
3D-Nocet:一种基于直接电梯算法实现3D-NoC的工具
作者:
Maha Beheiry
;
Hassan Mostafa
;
Yehea Ismail
;
Ahmed M. Soliman
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Topology;
Tools;
Three-dimensional displays;
Network topology;
Routing;
Algorithm design and analysis;
Two dimensional displays;
68.
Power prediction of embedded scalar and vector processor: Challenges and solutions
机译:
嵌入式标量和矢量处理器的功率预测:挑战和解决方案
作者:
Vijay Kiran Kalyanam
;
Peter G. Sassone
;
Jacob A. Abraham
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Phasor measurement units;
Predictive models;
Mathematical model;
Instruction sets;
Microarchitecture;
Clocks;
69.
A legalization algorithm for multi-tier gate-level monolithic three-dimensional integrated circuits
机译:
多层门级整体三维集成电路的合法化算法
作者:
Yiting Chen
;
Dae Hyun Kim
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Layout;
Logic gates;
Algorithm design and analysis;
Through-silicon vias;
Partitioning algorithms;
70.
Off-chip test architecture for improving multi-site testing efficiency using tri-state decoder and 3V-level encoder
机译:
用于使用三态解码器和3V级编码器提高多站点测试效率的片外测试架构
作者:
Sungyoul Seo
;
Hyeonchan Lim
;
Soyeon Kang
;
Sungho Kang
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Pins;
Decoding;
Built-in self-test;
Market research;
Benchmark testing;
Switches;
71.
Re-addressing SRAM design and measurement for sub-threshold operation in view of classic 6T vs. standard cell based implementations
机译:
鉴于经典的6T与标准单元的实现,重新解决SRAM设计和子阈值操作的测量
作者:
Xin Fan
;
Jan Stuijt
;
Rui Wang
;
Bo Liu
;
Tobias Gemmeke
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Random access memory;
Logic gates;
Standards;
Semiconductor device measurement;
Multiplexing;
Microprocessors;
Computer architecture;
72.
Energy efficient biopotential acquisition unit for wearable health monitoring applications
机译:
可穿戴健康监测应用的节能生物电阻采集单元
作者:
Wazir Singh
;
Yatharth Gupta
;
Paritosh Jivani
;
Sujay Deb
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Instruments;
Power demand;
Capacitors;
Clocks;
Simulation;
Compressed sensing;
Resistors;
73.
Scan chain based IP fingerprint and identification
机译:
基于扫描链的IP指纹和识别
作者:
Xi Chen
;
Gang Qu
;
Aijiao Cui
;
Carson Dunbar
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Fingerprint recognition;
Integrated circuits;
IP networks;
Delays;
Fabrication;
Security;
Silicon;
74.
An analytical model for interdependent setup/hold-time characterization of flip-flops
机译:
触发器的相互依存设置/保持时间特征的分析模型
作者:
Hadi Ahmadi Balef
;
Hailong Jiao
;
José Pineda de Gyvez
;
Kees Goossens
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
DH-HEMTs;
Decision support systems;
Clocks;
Integrated circuit modeling;
Delays;
Computational modeling;
75.
Aging-aware critical paths for process related validation in the presence of NBTI
机译:
在NBTI存在下的过程相关验证的老化意识到关键路径
作者:
Phaninder Alladi
;
Spyros Tragoudas
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Delays;
Logic gates;
Pins;
Stress;
Probability density function;
Negative bias temperature instability;
Thermal variables control;
76.
A case for standard-cell based RAMs in highly-ported superscalar processor structures
机译:
高端断超高卡拉尔处理器结构中基于标准单元的RAM的情况
作者:
Sungkwan Ku
;
Elliott Forbes
;
Rangeen Basu Roy Chowdhury
;
Eric Rotenberg
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Random access memory;
Flip-flops;
Clocks;
Layout;
Timing;
Tools;
Standards;
77.
High precision yet wide range on-chip oscillator with dual charge-discharge technique
机译:
高精度又宽范围的片上振荡器,具有双充放电技术
作者:
Abhijit Das
;
Joonsung Park
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Oscillators;
Clocks;
Delays;
Switches;
Capacitors;
Steady-state;
Temperature dependence;
78.
Clock tree optimization through selective airgap insertion
机译:
通过选择性气隙插入时钟树优化
作者:
Daijoon Hyun
;
Wachirawit Ponghiran
;
Youngsoo Shin
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Clocks;
Wires;
Delays;
Metals;
Capacitance;
Dielectrics;
Mathematical model;
79.
Power-delay product based resource library construction for effective power optimization in HLS
机译:
基于电源延迟产品的资源库施工,用于HLS中有效功率优化
作者:
Shantanu Dutt
;
Ouwen Shi
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Delays;
Libraries;
Optimization;
Adders;
Power demand;
Algorithm design and analysis;
80.
Data interface buffer compensation scheme for fast calibration
机译:
快速校准的数据接口缓冲器补偿方案
作者:
Sameer Shekhar
;
Amit K. Jain
;
Pooja Nukala
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Resistors;
Calibration;
Signal to noise ratio;
Training;
Tuning;
Transient analysis;
Impedance;
81.
CAP: Configurable resistive associative processor for near-data computing
机译:
帽:可配置的电阻关联处理器,用于近数据计算
作者:
Mohsen Imani
;
Tajana Rosing
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Cams;
Associative memory;
Nonvolatile memory;
Energy consumption;
Adders;
Delays;
Memory management;
82.
Harnessing ferroelectrics for non-volatile memories and logic
机译:
利用非易失性记忆和逻辑的铁电解
作者:
Sumeet Kumar Gupta
;
Danni Wang
;
Sumitha George
;
Ahmedullah Aziz
;
Xueqing Li
;
Suman Datta
;
Vijaykrishnan Narayanan
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Iron;
Nonvolatile memory;
Random access memory;
Transistors;
Capacitors;
Logic gates;
Capacitance;
83.
Investigation of magnetic field attacks on commercial Magneto-Resistive Random Access Memory
机译:
商业磁电阻随机存取记忆磁场攻击的研究
作者:
Alexander Holst
;
Jae-Won Jang
;
Swaroop Ghosh
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Magnetic fields;
Testing;
Interference;
Random access memory;
Magnetic tunneling;
Magnetic susceptibility;
Magnetization;
84.
Electrical modeling and analysis of 3D synaptic array using vertical RRAM structure
机译:
使用垂直RRAM结构的3D突触阵列电气建模与分析
作者:
Hongyu An
;
M. Amimul Ehsan
;
Zhen Zhou
;
Yang Yi
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Neurons;
Neuromorphics;
Computer architecture;
Three-dimensional displays;
Resistance;
Integrated circuit modeling;
85.
Processor/memory Co-Scheduling using periodic resource server for real-time systems under peak temperature constraints
机译:
处理器/内存共调度使用定期资源服务器在峰值温度约束下的实时系统
作者:
Gustavo A. Chaparro-Baquero
;
Shi Sha
;
Soamar Homsi
;
Wujie Wen
;
Gang Quan
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Memory management;
Real-time systems;
Heating systems;
Servers;
Power demand;
DRAM chips;
86.
Crossover Ring Oscillator PUF
机译:
交叉环振荡器PUF
作者:
Zihan Pang
;
Jiliang Zhang
;
Qiang Zhou
;
Shuqian Gong
;
Xu Qian
;
Bin Tang
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Inverters;
Delays;
Reliability;
Table lookup;
Silicon;
Hardware;
Random access memory;
87.
Adder implementation in reconfigurable resistive switching crossbar
机译:
可重新配置电阻切换横杆中的加法器实现
作者:
Pravin Mane
;
Sudeep Mishra
;
Ravish Deliwala
;
Ramesha C.K.
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Memristors;
Resistance;
Threshold voltage;
Switches;
Integrated circuit modeling;
Adders;
Computer architecture;
88.
A technique to construct global routing trees for graphene nanoribbon (GNR)
机译:
构建石墨烯纳米(GNR)的全球路由树的技术
作者:
Subrata Das
;
Debesh Kumar Das
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Routing;
Integrated circuit interconnections;
Delays;
Graphene;
Wires;
Resistance;
Rats;
89.
Evaluating tradeoffs in granularity and overheads in supporting nonvolatile execution semantics
机译:
评估粒度和高架上的缩略量的权衡,在支持非易失性执行语义中
作者:
Kaisheng Ma
;
Minli Julie Liao
;
Xueqing Li
;
Zhixuan Huan
;
Jack Sampson
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Checkpointing;
Nonvolatile memory;
Benchmark testing;
Energy harvesting;
Semantics;
Hardware;
Energy storage;
90.
Constructing fast and energy efficient 1TnR based ReRAM crossbar memory
机译:
构建快速和节能的1TNR RERAM CrossBar内存
作者:
Lei Zhao
;
Lei Jiang
;
Youtao Zhang
;
Nong Xiao
;
Jun Yang
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Random access memory;
Energy consumption;
Switches;
Memory management;
Nonvolatile memory;
Resistance;
Transistors;
91.
STA compatible backend design flow for TSV-based 3-D ICs
机译:
基于TSV的3-D ICS的STA兼容后端设计流程
作者:
Harry Kalargaris
;
Yi-Chung Chen
;
Vasilis F. Pavlidis
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Through-silicon vias;
Tools;
Timing;
Integrated circuit modeling;
Physical design;
Hardware design languages;
92.
InOut: Restructuring for threshold logic network optimization
机译:
in&Out:阈值逻辑网络优化的重组
作者:
Chia-Chun Lin
;
Chiao-Wei Huang
;
Chun-Yao Wang
;
Yung-Chih Chen
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Logic gates;
Cost function;
Logic circuits;
Tools;
Boolean functions;
Transforms;
93.
Regularized logistic regression for fast importance sampling based SRAM yield analysis
机译:
基于SRAM产量分析的快速重视采样的正则逻辑回归
作者:
Lama Shaer
;
Rouwaida Kanj
;
Rajiv Joshi
;
Maria Malik
;
Ali Chehab
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Logistics;
Integrated circuit modeling;
Monte Carlo methods;
SRAM cells;
Data models;
Circuit simulation;
94.
Data center power management for regulation service using neural network-based power prediction
机译:
基于神经网络的功率预测的规范服务数据中心电源管理
作者:
Ning Liu
;
Xue Lin
;
Yanzhi Wang
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Power demand;
Servers;
Cloud computing;
Memory management;
Biological neural networks;
Neurons;
95.
FPGA implementation of modeling attack resistant arbiter PUF with enhanced reliability
机译:
FPGA实现模型攻击抗性仲裁器PUF增强可靠性
作者:
Siarhei S. Zalivaka
;
Alexander A. Ivaniuk
;
Chip-Hong Chang
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Switches;
Delays;
Reliability engineering;
Field programmable gate arrays;
Hardware;
Security;
96.
Cost-quality trade-offs of approximate memory repair mechanisms for image data
机译:
图像数据近似记忆修复机制的成本质量权衡
作者:
Qianqian Fan
;
Sachin S. Sapatnekar
;
David J. Lilja
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Maintenance engineering;
Circuit faults;
Random access memory;
Image coding;
Redundancy;
Measurement;
Arrays;
97.
Design technology co-optimization of back end of line design rules for a 7 nm predictive process design kit
机译:
7 NM预测过程设计套件的设计技术协同优化线路设计规则的后端
作者:
Vinay Vashishtha
;
Ankita Dosi
;
Lovish Masand
;
Lawrence T. Clark
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Metals;
Ultraviolet sources;
Lithography;
Routing;
Standards;
FinFETs;
Pins;
98.
Comparative study of path selection and objective function in replacing NBTI mitigation logic
机译:
替代NBTI缓解逻辑的路径选择与目标函数的比较研究
作者:
Shumpei Morita
;
Song Bian
;
Michihiro Shintani
;
Masayuki Hiromoto
;
Takashi Sato
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Logic gates;
Optimization;
Negative bias temperature instability;
Thermal variables control;
Delays;
Aging;
Degradation;
99.
High performance virtual channel based fully adaptive thermal-aware routing for 3D NoC
机译:
基于高性能虚拟频道的3D NOC完全自适应热感知路由
作者:
Xin Jiang
;
Xiangyang Lei
;
Lian Zeng
;
Takahiro Watanabe
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Routing;
Three-dimensional displays;
Algorithm design and analysis;
Temperature control;
Reliability;
Topology;
Temperature sensors;
100.
Circuit design for beyond von Neumann applications using emerging memory: From nonvolatile logics to neuromorphic computing
机译:
超越Von Neumann应用的电路设计使用新兴内存:从非易失性逻辑到神经形态计算
作者:
Wei-Hao Chen
;
Win-San Khwa
;
Jun-Yi Li
;
Wei-Yu Lin
;
Huan-Ting Lin
;
Yongpan Liu
;
Yu Wang
;
Huaqiang Wu
;
Huazhong Yang
;
Meng-Fan Chang
会议名称:
《International Symposium on Quality Electronic Design》
|
2017年
关键词:
Nonvolatile memory;
Random access memory;
Neuromorphics;
Memristors;
Electron devices;
Computer architecture;
Circuit synthesis;
上一页
1
2
3
4
5
6
7
8
9
10
11
下一页
意见反馈
回到顶部
回到首页