首页> 外文会议>IEEE International Conference on 3D System Integration >A 3D SoC design for H.264 application with on-chip DRAM stacking
【24h】

A 3D SoC design for H.264 application with on-chip DRAM stacking

机译:用于片上DRAM堆叠的H.264应用的3D SOC设计

获取原文

摘要

Three-dimensional (3D) on-chip memory stacking has been proposed as a promising solution to the “memory wall” challenge with the benefits of low access latency, high data bandwidth, and low power consumption. The stacked memory tiers leverage through-silicon-vias (TSVs) to communicate with logic tiers, and thus dramatically reduce the access latency and improve the data bandwidth without the constraint of I/O pin count. To demonstrate the feasibility of 3D memory stacking, this paper introduces a 3D System-on-Chip (SoC) for H.264 applications that can make use of multiple memory channels offered by 3D integration. Two logic tiers are stacked together with each having an area of 2.5×5.0mm2, with a 3-layer 8-channel 3D DRAM stacked on the top. The design flow for this 3D SoC is also presented. The prototype chip has been fabricated with GlobalFoundries' 130nm low-power process and Tezzaron's 3D TSV technology. The 3D implementation shows that the 3D ICs can alleviate the pressure from I/O pin count and allow parallel memory accesses through multiple channels.
机译:已经提出了三维(3D)片上存储器堆叠作为对“记忆墙”挑战的有希望的解决方案,利用低访问延迟,高数据带宽和低功耗的益处。堆叠的存储器层利用通过硅通孔(TSV)来与逻辑层通信,从而显着降低访问延迟,并在没有I / O引脚计数的约束的情况下提高数据带宽。为了证明3D内存堆叠的可行性,本文介绍了用于H.264应用的3D系统(SOC),可以利用3D集成提供的多个存储器通道。两个逻辑层堆叠在一起,每个面积为2.5×5.0mm 2 ,带有3层8声道3D DRAM堆叠在顶部。还提出了该3D SOC的设计流程。原型芯片已由GlobalFoundries 130nm低功耗过程和Tezzaron的3D TSV技术制造。 3D实现表明,3D IC可以缓解来自I / O引脚计数的压力,并允许并行存储器通过多个通道访问。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号