首页> 外文会议>2010 IEEE International 3D Systems Integration Conference >A 3D SoC design for H.264 application with on-chip DRAM stacking
【24h】

A 3D SoC design for H.264 application with on-chip DRAM stacking

机译:具有片上DRAM堆栈的H.264应用的3D SoC设计

获取原文

摘要

Three-dimensional (3D) on-chip memory stacking has been proposed as a promising solution to the “memory wall” challenge with the benefits of low access latency, high data bandwidth, and low power consumption. The stacked memory tiers leverage through-silicon-vias (TSVs) to communicate with logic tiers, and thus dramatically reduce the access latency and improve the data bandwidth without the constraint of I/O pin count. To demonstrate the feasibility of 3D memory stacking, this paper introduces a 3D System-on-Chip (SoC) for H.264 applications that can make use of multiple memory channels offered by 3D integration. Two logic tiers are stacked together with each having an area of 2.5×5.0mm2, with a 3-layer 8-channel 3D DRAM stacked on the top. The design flow for this 3D SoC is also presented. The prototype chip has been fabricated with GlobalFoundries'' 130nm low-power process and Tezzaron''s 3D TSV technology. The 3D implementation shows that the 3D ICs can alleviate the pressure from I/O pin count and allow parallel memory accesses through multiple channels.
机译:有人提出了三维(3D)片上存储器堆栈作为解决“内存墙”挑战的有前途的解决方案,它具有访问延迟低,数据带宽高和功耗低的优点。堆叠的内存层利用硅通孔(TSV)与逻辑层进行通信,从而在不限制I / O引脚数的情况下,大大减少了访问延迟并提高了数据带宽。为了演示3D内存堆栈的可行性,本文介绍了一种适用于H.264应用的3D片上系统(SoC),该系统可以利用3D集成提供的多个内存通道。两个逻辑层堆叠在一起,每个逻辑层的面积为2.5×5.0mm 2 ,顶部堆叠有3层8通道3D DRAM。还介绍了此3D SoC的设计流程。该原型芯片是采用GlobalFoundries的130nm低功耗工艺和Tezzaron的3D TSV技术制造的。 3D实现显示3D IC可以减轻I / O引脚数带来的压力,并允许通过多个通道进行并行存储器访问。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号