掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Emerging Lithographic Technologies X pt.2
Emerging Lithographic Technologies X pt.2
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
世界宽带网络
信息化建设
中国电子杂志(英文版)
无线电工程
音响世界
电子节能
集成电路应用
移动信息
信息网络安全
现代显示
更多>>
相关外文期刊
The journal of electronic defense
Radio Comms
IEEE Transactions on Reliability
Electronics and Communications in Japan / 電子情報通信
IEICE Transactions on Information and Systems
Elektronik Industrie
Microwave Journal
Revue du Cethedec
通信総合研究所季報
Journal of visual communication & image representation
更多>>
相关中文会议
四川省通信学会二O一二年年会
北京通信学会2009年无线及移动通信研讨会
第一届中国高校通信类院系学术研讨会
2011 TD-LTE 网络创新研讨会
2002"北京国际SMT技术交流会
2006年恒润科技用户大会
中国通信学会信息通信网络技术委员会2011年年会
中国电影电视技术学会第八届学术年会
辽宁省通信学会2010年通信网络与信息技术年会
第十一届全国雷达学术年会
更多>>
相关外文会议
International Symposium on Ultra Clean Processing of Silicon Surfaces(UCPSS); 20060918-20; Antwerp(BE)
第15回機械, 構造物の強度設計?安全性評価に関するシンポジウム
2014 4th Joint Workshop on Hands-free Speech Communication and Microphone Arrays
Satellite data compression, communication, and processing V
Thin film transistors 10(TFT 10)
2014 ICPR Workshop on Computer Vision for Analysis of Underwater Imagery
Integrated Communications, Navigation and Surveillance Conference, 2009. ICNS '09
International Conference on Fuzzy Information Processing: Theories and Applications vol.1; 20030301-04; Beijing(CN)
International Symposium on High Temperature Corrosion and Materials Chemistry III, Mar 27-29, 2001, Washington DC
Conference on Smart Structures and Materials 2002: Modeling, Signal Processing, and Control Mar 18-21, 2002 San Diego, USA
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Sub-32nm patterning using EUVL at ASET
机译:
在ASET处使用EUVL进行低于32nm的图案形成
作者:
Doohoon Goo
;
Yuusuke Tanaka
;
Yukiko Kikuchi
;
Hiroaki Oizumi
;
Iwao Nishiyama
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV;
ASET;
32 nm;
sensitivity curve;
LWR;
2.
Table-top EUV-Reflectometer
机译:
台式EUV反射仪
作者:
U. Hinze
;
B. Chichkov
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV reflectometer;
EUV metrology;
EUV mirror characterization;
3.
Sub-100 nm Trackwidth Development by E-Beam Lithography for Advanced Magnetic Recording Heads
机译:
电子束光刻技术可开发低于100 nm的磁道宽度,适用于高级磁记录头
作者:
Jei-Wei Chang
;
Chao-Peng Chen
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
sub-100 nm;
magnetic recording heads;
e-beam lithography;
critical dimension reduction;
ozone slimming;
and proximity effect;
4.
Study of Ruthenium-capped Multilayer Mirror for EUV Irradiation Durability
机译:
钌包覆多层反射镜对EUV辐射耐久性的研究
作者:
Hiromitsu Takase
;
Shigeru Terashima
;
Yoshio Gomei
;
Masayuki Tanabe
;
Yutaka Watanabe
;
Takashi Aoki
;
Katsuhiko Murakami
;
Shuichi Matsunari
;
Masahito Niibe
;
Yukinobu Kakutani
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUVL;
multilayer;
capping layer;
structure;
Mo/Si;
Ru;
5.
Ultimate Fine-pitch Resist Patterning using the ASET-HINA
机译:
使用ASET-HINA进行最终的细间距抗蚀图案
作者:
H. Oizumi
;
Y. Tanaka
;
F. Kumasaka
;
I. Nishiyama
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV lithography;
coherent illumination;
chemically-amplified resist;
molecular resist;
6.
Process window study with various partial coherences on EUV MET (Micro Exposure Tool) optics
机译:
在EUV MET(微型曝光工具)光学器件上具有各种部分相干的过程窗口研究
作者:
Sang Hun Lee
;
Courtney Brewer
;
Manish Chhok
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUVL;
partial coherence;
process window;
dipole illumination;
cross-quad illumination;
quad illumination;
annular illumination;
7.
Polarization dependence of multilayer reflectance in the EUV spectral range
机译:
EUV光谱范围内多层反射率的偏振依赖性
作者:
Frank Scholze
;
Christian Laubis
;
Christian Buchholz
;
reas Fischer
;
Sven Ploeger
;
Frank Scholz
;
Gerhard Ulm
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
extreme ultraviolet;
metrology;
lithography;
at-wavelength characterization;
reflectometry;
polarization;
8.
Performance and quality analysis of Mo-Si multilayers deposited by ion beam sputtering and magnetron sputtering
机译:
离子束溅射和磁控溅射沉积Mo-Si多层膜的性能和质量分析
作者:
Kenji Hiruma
;
Shinji Miyagaki
;
Hiromasa Yamanashi
;
Yuusuke Tanaka
;
Jerry Cullins
;
Iwao Nishiyama
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
sputter deposition;
Mo-Si multilayer;
interface layer;
EUV reflectivity;
9.
Effect of charged-particle bombardment on collector mirror reflectivity in EUV lithography devices
机译:
带电粒子轰击对EUV光刻设备中集电极镜反射率的影响
作者:
J. P. Allain
;
M. Nieto
;
A. Hassanein
;
V. Titov
;
P. Plotkin
;
M. Hendricks
;
E. Hinson
;
C. Chrobak
;
M.H.L. van der Velden
;
B. Rice
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
threshold sputtering;
EUV reflectivity;
Sn implantation;
Sn deposition;
EUV collector optics;
ion scattering spectroscopy;
10.
Enhancement of conversion efficiency of extreme ultraviolet radiation from a liquid aqueous solution microjet target by use of dual laser pulses
机译:
通过使用双激光脉冲提高来自液态水溶液微喷靶的极紫外辐射的转换效率
作者:
Takeshi Higashiguchi
;
Naoto Dojyo
;
Masaya Hamada
;
Keita Kawasaki
;
Wataru Sasaki
;
Shoichi Kubodera
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
laser produced plasma (LPP);
extreme ultraviolet (EUV) emission;
liquid jet targets;
11.
EUV Source Developments on Laser-Produced Plasmas using Cryogenic Xe and Lithium New Scheme Target
机译:
使用低温Xe和锂新方案目标的激光产生等离子体的EUV光源开发
作者:
Shuji Miyamoto
;
Sho Amano
;
Takahiro Inoue
;
Petru-Edward Nica
;
Atsushi Shimoura
;
Kakyo Kaku
;
Tsuguhisa Sekioka
;
Takayasu Mochizuki
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV source;
laser produced plasma;
xenon;
lithium;
forced recombination;
12.
Advanced at-wavelength reflectometry with the EUV tube
机译:
借助EUV管实现先进的波长反射法
作者:
re Egbert
;
Stefan Becker
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
microfocus EUV source;
EUV metrology;
EUV reflectometry;
multilayer mirrors;
13.
Analysis of the Emission Spectrum of Xe and Sn
机译:
Xe和Sn的发射光谱分析
作者:
A. Sasaki
;
K. Nishihara
;
A. Sunahara
;
T. Nishikawa
;
F. Koike
;
K. Kagawa
;
H.Tanuma
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV lithography;
plasma spectroscopy;
simulation;
atomic process;
emissivity;
opacity;
14.
Achieving mask-based imaging with optical maskless lithography
机译:
利用无掩模光学光刻技术实现基于掩模的成像
作者:
Elizabeth M. Stone
;
Jason D. Hintersteiner
;
Wenceslao A. Cebuhar
;
Ronald Albright
;
Nicholas K. Eib
;
Azat Latypov
;
Nabila Baba-Ali
;
Sherman K. Poultney
;
Ebo H. Croffie
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
optical maskless lithography;
OML;
spatial light modulator;
contrast device;
rasterization;
global optimization;
SLM calibration;
SLM aerial image test stand;
15.
Actinic EUVL mask blank defect inspection by EUV photoelectron microscopy
机译:
通过EUV光电子显微镜检查光化性EUVL掩模空白缺陷
作者:
Ulf Kleineberg
;
Jingquan Lin
;
Ulrich Neuhaeusler
;
Jawad Slieh
;
Ulrich Heinzmann
;
Nils Weber
;
Matthias Escher
;
Michael Merkel
;
reas Oelsner
;
Dima Valsaitsev
;
Gerd Schoenhense
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
16.
Characterization of CCD sensor for actinic mask blank inspection
机译:
用于光化掩模空白检查的CCD传感器的特性
作者:
Yoshihiro Tezuka
;
Toshihiko Tanaka
;
Tsuneo Terasawa
;
Toshihisa Tomie
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV;
mask blanks;
actinic inspection;
BI-CCD;
point spread function;
readout noise;
17.
Characterization of striae in ULE~reg; for EUVL optics and masks
机译:
ULE〜®中用于EUVL光学器件和掩模的条纹的特征
作者:
William Rosch
;
Lorrie Beall
;
John Maxon
;
Robert Sabia
;
Robert Sell
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
ULE;
EUVL;
photomasks;
striae;
spatial frequency roughness;
retardation;
frequency;
birefringence;
titania;
18.
High Power Low Cost Drive Laser for LPP Source
机译:
用于LPP光源的高功率低成本驱动激光器
作者:
Igor V. Fomenkov
;
Bjoern A.M. Hansson
;
Norbert R. Boewering
;
Alex I. Ershov
;
William N. Partlo
;
Vladimir B. Fleurov
;
Oleh V. Khodykin
;
Alexer N. Bykanov
;
Curtis L. Rettig
;
Jerzy R. Hoffman
;
Ernesto Vargas L.
;
Juan A. Chavez
;
William F. Marx
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV source;
laser-produced plasma;
XeF power amplifier;
Nd:YLF seed;
CO_2 laser amplifier;
19.
Fabrication of organic nano-particles by PRINT: Master generation using lithographic and RIE techniques
机译:
通过PRINT制备有机纳米颗粒:使用光刻和RIE技术生成母版
作者:
Ashish A. Pya
;
Benjamin W. Maynor
;
Stephanie E. A. Gratton
;
David G. Vellenga
;
D. Ginger Yu
;
Carlton M. Osburn
;
Joseph M. DeSimone
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
20.
High-precision ( < 1ppb/℃) Optical Heterodyne Interferometric Dilatometer for Determining Absolute CTE of EUVL Materials
机译:
高精度(<1ppb /℃)光学外差干涉膨胀仪测定EUVL材料的绝对CTE
作者:
Yoshimasa Takeichi
;
Iwao Nishiyama
;
Naofumi Yamada
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
LTEM;
EUVL;
CTE;
optical heterodyne interferometer;
optics;
mask substrate;
21.
Impact of Multi-Layer Deposition Method on Defects for EUVL Photomask Blanks
机译:
多层沉积方法对EUVL光掩模坯缺陷的影响
作者:
Jerry Cullins
;
Kumi Motai
;
Iwao Nisiyama
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
mask;
photomask;
multi-layer deposition;
defects;
ion beam;
magnetron;
22.
Imprint Technology: A Potential Low-Cost Solution for Sub-45 nm Device Applications
机译:
压印技术:低于45 nm器件应用的潜在低成本解决方案
作者:
Ngoc V. Le
;
William J. Dauksher
;
Kathy A. Gehoski
;
Kevin J. Nordquist
;
Eric Ainley
;
Pawitter Mangat
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
step and flash imprint lithography;
S-FIL;
pattern transfer;
residual layer;
23.
High-Temperature LPP Collector Mirror
机译:
高温LPP收集镜
作者:
Torsten Feigl
;
Sergiy Yulin
;
Nicolas Benoit
;
Norbert Kaiser
;
Norbert R. Boewering
;
Alex I. Ershov
;
Oleh V. Khodykin
;
John W. Viatella
;
Kent A. Bruzzone
;
Igor V. Fomenkov
;
David W. Myers
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
collector mirror;
thermal stability;
multilayer coatings;
optics lifetime;
24.
Investigation of a novel discharge EUV source for microlithography
机译:
用于微光刻的新型放电EUV源的研究
作者:
Bruno S. Bauer
;
Volodymyr Makhin
;
Stephan Fuelling
;
Irvin R. Lindemuth
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV source;
plasma discharge;
magnetic mirror;
radio frequency;
MHD;
radiation;
simulation;
25.
The EUV Resist Test Center at SEMATECH-North
机译:
SEMATECH-North的EUV抵抗测试中心
作者:
Klaus Lowack
;
y Rudack
;
Kim Dean
;
Matt Malloy
;
Mike Lercel
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
extreme ultraviolet;
lithography;
resist;
26.
Studies on cryogenic Xe capillary jet target for laser-produced plasma EUV-light source
机译:
激光产生等离子体EUV光源的低温Xe毛细管喷射靶的研究
作者:
T. Inoue
;
P.E. Nica
;
K. Kaku
;
A. Shimoura
;
S. Amano
;
S. Miyamoto
;
T. Mochizuki
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
Xe capillary jet;
mass-limited target;
debris-free;
fast-supplying;
laser-produced plasma EUV source;
27.
Soft Lithography Using Perfluorinated Polyether Molds and PRINT Technology for Fabrication of 3-D Arrays on Glass Substrates
机译:
使用全氟聚醚模具和PRINT技术的软光刻技术,在玻璃基板上制造3-D阵列
作者:
Kenton B. Wiles
;
Natasha S. Wiles
;
Kevin P. Herlihy
;
Benjamin W. Maynor
;
Jason P. Roll
;
Joseph M. DeSimone
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
soft lithography;
perfluoropolyether;
polydimethylsiloxane;
self assembled monolayer;
3-D array;
28.
Reduced Complexity Compression Algorithms for Direct-Write Maskless Lithography Systems
机译:
直写式无掩模光刻系统的降低复杂度压缩算法
作者:
Hsin-I Liu
;
Vito Dai
;
Avideh Zakhor
;
Borivoje Nikolic
;
U.C. Berkeley
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
C4;
maskless lithography;
complexity;
implementation;
decoder;
prediction;
buffer;
memory;
segmentation;
29.
Multilayer optics with spectral purity layers for the EUV wavelength range
机译:
具有EUV波长范围光谱纯度层的多层光学器件
作者:
E. Louis
;
R.W.E. van de Kruijs
;
A.E. Yakshin
;
S. Alonso van der Westen
;
F. Bijkerk
;
M.M.J.W. van Herpen
;
D.J.W. Klunder
;
L. Bakker
;
H. Enkisch
;
S. Muellender
;
M. Richter
;
V. Banine
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
Mo/Si multilayers;
EUV lithography;
out of band radiation;
30.
Nano-Imprint of sub-100 nm dots and complex shape features on 8
机译:
小于100 nm点的纳米压印和复杂的形状特征在8上
作者:
S. Lis
;
T. Leveder
;
N. Chaix
;
C. Perret
;
C. Gourgon
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
nanoImprint lithography;
polymer;
thin film;
31.
New contamination experimental equipment in the NewSUBARU and evaluation of Si-capped multilayer mirrors using it
机译:
NewSUBARU中的新型污染实验设备,并使用该设备评估硅盖多层镜
作者:
Masahito Niibe
;
Yukinobu Kakutani
;
Shigeru Terashima
;
Hiromitsu Takase
;
Yoshio Gomei
;
Shuichi Matsunari
;
Takashi Aoki
;
Katsuhiko Murakami
;
Yasuaki Fukuda
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUVL;
contamination;
multilayer;
Mo/Si;
mirror;
lifetime;
32.
Electron Beam Lithography for High Aspect-Ratio Trench Patterning in Thick Resist: Experimental and Simulation Results
机译:
电子束光刻技术在厚抗蚀剂中的高纵横比沟槽图案化:实验和仿真结果
作者:
Jianyun Zhou
;
Shuaigang Xiao
;
Werner Scholz
;
XiaoMin Yang
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
33.
Effects of Low voltage electron beam lithography
机译:
低压电子束光刻的影响
作者:
Mehdi Bolorizadeh
;
David C. Joy
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
lithography;
fast secondary electrons;
elastic and inelastic collisions;
Monte Carlo simulation;
34.
Study of the dynamic evolution and spectral properties of multi-component plasmas for EUV production
机译:
用于EUV生产的多组分等离子体的动态演化和光谱特性的研究
作者:
Joshua B. Spencer
;
Shailendra N. Srivastava
;
Darren A. Alman
;
Erik L. Antonsen
;
David N. Ruzic
;
Joseph J. MacFarlane
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
35.
Study of nano-imprint for sub-100nm patterning by using SU-8 3000NIL resist
机译:
SU-8 3000NIL抗蚀剂用于亚100nm图案化的纳米压印研究
作者:
Atsushi Sekiguchi
;
Yoshiyuki kono
;
Satoshi Mori
;
Nao Honda
;
Yoshihiko Hirai
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
nano-imprint;
chemically amplified negative resist;
SU-8;
FT-IR;
outgas;
rheometer;
36.
Proximity lithography membrane mask aeroelasticity
机译:
邻近光刻膜面罩气动弹性
作者:
Dryver Huston
;
Dylan Burns
;
Brent Boerger
;
Robert Selzer
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
membrane;
mask;
thermoelastic;
aeroelastic;
proximity;
lithography;
active;
control;
37.
Printability of Contact-hole Patterns in EUVL Using 0.3-NA HiNA Optics
机译:
使用0.3-NA HiNA光学元件的EUVL中接触孔图案的可印刷性
作者:
Yuusuke Tanaka
;
Hiroaki Oizumi
;
Yukiko Kikuchi
;
DooHoon Goo
;
Fumiaki Kumasaka
;
Iwao Nishiyama
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUVL;
HiNA;
contact hole;
printability;
aerial image;
aberration;
flare;
central obscuration;
mask linearity;
38.
Planarization for Reverse-Tone Step and Flash Imprint Lithography
机译:
反音阶跃和闪光压印光刻的平面化
作者:
Michael W. Lin
;
Huang-Lin Chao
;
Jianjun Hao
;
Eui Kyoon Kim
;
Frank Palmieri
;
Woon Chun Kim
;
Michael Dickey
;
Paul S. Ho
;
C. Grant Willson
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
planarization;
imprint lithography;
reverse-tone;
39.
KrF Laser Driven Xenon Plasma Light Source of a Small Field Exposure Tool
机译:
KrF激光驱动的氙气等离子体光源的小场曝光工具。
作者:
Tamotsu Abe
;
Masato Moriya
;
Hiroshi Someya
;
Georg Soumagne
;
Takashi Suganuma
;
Takayuki Watanabe
;
Akira Sumitani
;
Hakaru Mizoguchi
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV lithography;
exposure tool;
laser produced plasma;
KrF laser;
xenon;
40.
Lithographic Characterization of Low-Order Aberrations in a 0.3-NA EUV Microfield Exposure Tool
机译:
0.3 NA EUV显微曝光工具中低阶像差的光刻特征
作者:
Patrick Naulleau
;
Jason Cain
;
Kim Dean
;
Kenneth A. Goldberg
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
extreme ultraviolet;
lithography;
alignment;
aberrations;
41.
Photocurable Pillar Arrays Formed via AC- and Ultrasound-Induced Electrohydrodynamic Instabilities
机译:
通过交流和超声诱导的电流体动力学不稳定性形成的光固化支柱阵列
作者:
Pavlos C. Tsiartas
;
Michael D. Dickey
;
Kens E. Allrich
;
C. Grant Willson
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
pillar arrays;
alternative patterning;
photocurable materials;
electric field assisted self assembly;
42.
EUV Generation from Lithium Laser Plasma for Lithography
机译:
从锂激光等离子光刻技术产生EUV
作者:
Simi A. George
;
William Silfvast
;
Kazutoshi Takenoshita
;
Robert Bernath
;
Chiew-Seng Koay
;
Greg Shimkaveg
;
Martin Richardson
;
Moza Al-Rabban
;
Howard Scott
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
laser plasmas;
EUV lithography;
lithium;
tin;
EUV sources;
EUV spectroscopy;
43.
EUV optical system for the Reticle Imaging Microscope (RIM)
机译:
用于网版成像显微镜(RIM)的EUV光学系统
作者:
H. Glatzel
;
J. Daniel
;
K. Khajehnouri
;
U. Mueller
;
T. Roff
;
J. Rosenbohm
;
S. Sporer
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
aspheres;
optical metrology;
high-reflectivity coatings;
reflectometry;
surface topology;
polishing;
EUV;
transmitted wavefront error;
44.
Phase shift mask for EUV lithography
机译:
EUV光刻的相移掩模
作者:
C.Constancias
;
M. Richard
;
D. Joyeux
;
J. Chiaroni
;
R. Blanc
;
J.Y. Robic
;
E. Quesnel
;
V. Muffato
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
extrem ultraviolet lithography;
EUV;
mask;
phase shift mask;
interferometer;
multilayer;
45.
Organic-Inorganic Hybrid Materials for Nanoimprint Lithography
机译:
用于纳米压印光刻的有机-无机杂化材料
作者:
Junko Katayama
;
Shigeru Yamaki
;
Masahiro Mitsuyama
;
Makoto Hanabata
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
UV-NIL;
organic-inorganic hybrid materials;
organosilicasol;
silicasol;
nanoparticles;
46.
High Power Pulsed CO_2 Laser for EUV Lithography
机译:
用于EUV光刻的高功率脉冲CO_2激光器
作者:
Tatsuya Ariga
;
Hideo Hoshino
;
Taisuke Miura
;
Akira Endo
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV;
laser produced plasma;
CO_2 laser;
axial flow;
47.
Micro-Stereo-Lithography System
机译:
微立体光刻系统
作者:
T. Yoshimoto
;
I. Miyaki
;
H.Yaze
;
Y. Maruka
;
N.Ri
;
T.Teramoto
;
K. Morohoshi
;
Y. Koyagi
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
micro-stereo-lithography;
3D-structure;
photo-polymerizing polymer;
rapid prototyping system;
MEMS;
micro turbine;
micro coil;
photonic crystal;
maskless;
nano imprint;
nano particle mixing;
48.
Model-based lithography verification system for multilayer structure in electron-beam direct writing
机译:
电子束直接写入中多层结构的基于模型的光刻验证系统
作者:
Kozo Ogino
;
Hiromi Hoshino
;
Yasuhide Machida
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
maskless lithography;
EBDW;
multilayer structure;
PEC;
lithography verification;
electron energy flux;
49.
Integrated Simulation of Line-Edge Roughness (LER) Effects on Sub-65 nm Transistor Operation: From Lithography Simulation, to LER Metrology, to Device Operation
机译:
线边缘粗糙度(LER)对65 nm以下晶体管操作的集成仿真:从光刻模拟到LER计量学再到器件操作
作者:
G. P. Patsis
;
V. Constantoudis
;
E. Gogolides
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
50.
The effects of wafer-scan induced image blur on CD control, image slope and process window in maskless lithography
机译:
晶圆扫描引起的图像模糊对无掩模光刻中CD控制,图像斜率和工艺窗口的影响
作者:
Yijian Chen
;
Yashesh Shroff
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
maskless lithography;
scan speed;
image blur;
image slope;
CD variation;
process window;
LER;
51.
Residual layer-free detachment-based nanolithography
机译:
无残留无层剥离纳米光刻
作者:
Jae Kwan Kim
;
Jee Won Park
;
Hongjoo Yang
;
Mansoo Choi
;
Joon Ho Choi
;
Kahp Yang Suh
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
detachment;
nanofabrication;
polymer;
52.
Optimization of EUV/SXR Plasma Radiation Source Characteristics
机译:
EUV / SXR等离子辐射源特性的优化
作者:
J. J. MacFarlane
;
P. Wang
;
I. E. Golovkin
;
P. R. Woodruff
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
atomic physics;
radiation-hydrodynamics;
EUV lithography;
atomic spectroscopy;
laser-produced plasmas;
spectral analysis;
radiation transport;
53.
Numerical Modeling of Absorber Characteristics for EUVL
机译:
EUVL吸收器特性的数值建模
作者:
In-Yong Kang
;
Jinho Ahn
;
Hye-Keun Oh
;
Yong-Chae Chung
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUVL;
absorber characteristics;
pattern printability;
reflectivity;
aerial image intensity;
54.
Using Phase Mask Algorithms to Direct Self Assembly
机译:
使用相位掩码算法指导自组装
作者:
F.M. Schellenberg
;
J.A.R. Torres
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
directed self-assembly;
DSA;
block copolymers;
BCP;
phase-shifting lithography;
LER;
55.
UV-Nanoimprint Lithography Using a Diamond-Like Carbon Stamp
机译:
UV-纳米压印光刻技术,使用类似钻石的碳印章
作者:
Jun-ho Jeong
;
Ki-don Kim
;
Young-suk Sim
;
Dae-geun Choi
;
Eung-sug Lee
;
Sang-hu Park
;
Tae-woo Lim
;
Dong-yol Yang
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
ultraviolet-nanoimprint lithography;
diamond-like carbon;
stamp;
electron-beam lithography;
two-photon polymerization;
56.
Thermal stress kinetics in the microresist - silicon system
机译:
微电阻-硅系统中的热应力动力学。
作者:
S.Tamulevicius
;
V.Grigaliunas
;
D.Jucius
;
V.Ostasevicius
;
A.Palevicius
;
G.Janusas
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
nanoimprint lithography;
CO_2 laser;
stress in polymer;
57.
Three-dimensional Rigorous Simulation of EUV Defective Masks Using Modal Method by Fourier Expansion
机译:
傅里叶展开模态方法对EUV缺陷掩模进行三维严格模拟
作者:
Rafik Smaali
;
Maxime Besacier
;
Patrick Schiavone
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV lithography;
3D electromagnetic simulation;
defective mask;
modal method;
absorber pattern;
aerial image;
58.
Striae evaluation of TiO_2-SiO_2 ultra-low expansion glasses using the line-focus-beam ultrasonic material characterization system
机译:
线聚焦束超声材料表征系统对TiO_2-SiO_2超低膨胀玻璃的条纹评价
作者:
Mototaka Arakawa
;
Jun-ichi Kushibiki
;
Yuji Ohashi
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
line-focus-beam ultrasonic material characterization system;
velocity measurement;
leaky surface acoustic waves;
ultra-low-expansion glass;
TiO_2-SiO_2 glass;
CTE evaluation;
EUVL system;
striae evaluation;
calibration line;
59.
Tri-layer resist process for fabricating sub 45-nm LS patterns by EPL
机译:
通过EPL制造亚45纳米L&S图案的三层抗蚀剂工艺
作者:
Fumihiro Koba
;
Kazuyuki Matsumaro
;
Eiichi Soda
;
Tadayoshi Watanabe
;
Yoshihisa Matsubara
;
Hiroshi Arimoto
;
Tasuku Matsumiya
;
Daisuke Kawana
;
Naoki Yamashita
;
Yasushi Fujii
;
Katsumi Ohmori
;
Mitsuru Sato
;
Takahiro Kozawa
;
Seiichi Tagawa
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
tri-layer resist;
lines and spaces patterns;
pattern collapse;
back-end-of-line;
electron-beam lithography;
electron projection lithography;
60.
Energy spectra and charge states of debris emitted from laser-produced minimum mass tin plasmas
机译:
激光产生的最小质量锡等离子体发射的碎片的能谱和电荷状态
作者:
Shinsuke Fujioka
;
Hiroaki Nishimura
;
Tsuyoshi o
;
Nobuyoshi Ueda
;
Shinichi Namba
;
Tatsuya Aota
;
Masakatsu Murakami
;
Katsunobu Nishihara
;
Young-G. Kang
;
Atsushi Sunahara
;
Hiroyuki Furukawa
;
Yoshinori Shimada
;
Kazuhisa Hashimoto
;
Michiteru Yamaura
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
extreme ultraviolet;
laser-produced plasma;
debris;
minimum mass target;
punch-out scheme;
61.
EUV Source Collector
机译:
EUV源收集器
作者:
Norbert R. Boewering
;
Alex I. Ershov
;
William F. Marx
;
Oleh V. Khodykin
;
Bjoern A. M. Hansson
;
Ernesto Vargas L.
;
Juan A. Chavez
;
Igor V. Fomenkov
;
David W. Myers
;
David C. Brt
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV collector mirror;
EUV lithography;
EUV light source;
laser-produced plasma;
multilayer mirror;
EUV radiation power;
collector lifetime;
62.
Aerial-image modeling for the extreme ultraviolet microfield exposure tool at SEMATECH North
机译:
SEMATECH North的极端紫外线微场曝光工具的航拍图像建模
作者:
Patrick Naulleau
;
Kim Dean
;
Klaus Lowack
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
extreme ultraviolet;
lithography;
modeling;
aerial image;
resist;
63.
A Character Projection Low Energy Electron Beam Direct Writing System for Device of Small Production Lot with a Variety of Design
机译:
多种设计的小批量设备的字符投影低能电子束直写系统
作者:
Fumihiko Nakamura
;
Katsuhide Watanabe
;
Hidetoshi Kinoshita
;
Hiroyuki Shinozaki
;
Yasushi Kojima
;
Satoshi Morita
;
Kouhei Noguchi
;
Norihiro Yamaguchi
;
Hisashi Isokawa
;
Kazuhiko Kushitani
;
Takayuki Satoh
;
Takeshi Koshiba
;
Takumi Oota
;
Tetsuro Nakasugi
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
low-energy EB;
mask-less;
EBDW;
CP;
64.
Development of Xe- and Sn-fueled high-power Z-pinch EUV source aiming at HVM
机译:
瞄准HVM的Xe和Sn燃料大功率Z夹式EUV光源的开发
作者:
Yusuke Teramoto
;
Gohta Niimi
;
Daiki Yamatani
;
Yuki Joshima
;
Kazunori Bessho
;
Takahiro Shirai
;
Tetsu Takemura
;
Toshio Yokota
;
Hironobu Yabuta
;
Khokan C. Paul
;
Kiyoyuki Kabuki
;
Koji Miyauchi
;
Mitsuru Ikeuchi
;
Kazuaki Hotta
;
Masaki Yoshioka
;
Hiroto Sato
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
DPP;
EUV source;
lithography;
xenon;
tin;
Z-pinch;
pulsed power;
65.
Combined absorber stack for optimization of the EUVL mask
机译:
组合式吸收器烟囱可优化EUVL面罩
作者:
Seung Yoon Lee
;
Tae Geun Kim
;
Chung Yong Kim
;
In-Yong Kang
;
Yong-Chae Chung
;
Jinho Ahn
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUVL;
absorber;
absorber stack;
ARC;
reflectivity;
Al_2O_3;
TaN;
capping;
buffer;
66.
Data Conversion System for Character Projection-type Low-energy Electron Beam Direct Writing System
机译:
字符投影型低能电子束直写系统的数据转换系统
作者:
Ryoichi Inanami
;
Katsumi Kishimoto
;
Kazuhiro Nakai
;
Yoshikazu Ichioka
;
Kiyoshi Kitamura
;
Ryo Yamada
;
Shunko Magoshi
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EBDW;
EBIS;
character projection;
mask-less lithography;
data conversion;
67.
Debris mitigation for EUV sources using directional gas flows
机译:
使用定向气流减少EUV源的碎屑
作者:
Wouter Soer
;
Dion Klunder
;
Maarten van Herpen
;
Leon Bakker
;
Vadim Banine
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
EUV source;
EUV lithography;
debris mitigation;
directional flow;
buffer gas;
foil trap;
68.
A simple lithographic method for fabricating microano multiscale structures
机译:
一种制造微米/纳米多尺度结构的简单光刻方法
作者:
Hoon Eui Jeong
;
Sung Hoon Lee
;
Pilnam Kim
;
Jae Kwan Kim
;
Kahp Y. Suh
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
multiscale fabrication;
hierarchical structure;
microano structure;
capillary molding;
69.
New Proximity Effect Correction for under 100nm patterns
机译:
适用于100nm以下图案的新近距效应校正
作者:
Masahiro Shoji
;
Nobuyasu Horiuchi
;
Tomoyuki Chikanaga
;
Takashi Niinuma
;
Dai Tsunoda
会议名称:
《Emerging Lithographic Technologies X pt.2》
|
2006年
关键词:
PEC;
EBDW;
NGL;
意见反馈
回到顶部
回到首页