掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Metrology, Inspection, and Process Control for Microlithography XIX pt.2
Metrology, Inspection, and Process Control for Microlithography XIX pt.2
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Usage of profile information obtained with Scatterometry
机译:
使用散射法获得的配置文件信息的用途
作者:
Toru Koike
;
Masafumi Asano
;
Toru Mikami
;
Yuichiro Yamazaki
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
scatterometry;
CD-SEM;
photoresist profile;
CD;
sidewall angle;
dose;
focus;
model;
library;
2.
Understanding and Reduction of Defects on Finished EUV Masks
机译:
了解并减少成品EUV面罩上的缺陷
作者:
Ted Liang
;
Peter Sanchez
;
Guojing Zhang
;
Emily Shu
;
Rajesh Nagpal
;
Alan Stivers
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
EUV lithography;
EUV masks;
mask inspection;
mask repair;
electron beam;
defect characterization;
AES;
3.
Wavelength Dependent Mask Defects
机译:
波长相关的掩模缺陷
作者:
Karen Badger
;
Shahid Butt
;
Jay Burnham
;
Tom Faure
;
Michael Hibbs
;
Jed Rankin
;
David Thibault
;
rew Watts
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
defect;
actinic;
substrate;
inclusion;
mask;
193nm;
inspection;
4.
Separating Cluster Contribution to Improve CD Distribution Using Simultaneous Dose-Focus Monitoring on Production Wafers
机译:
通过同时对生产晶圆进行剂量-焦点监测来分离集群贡献以改善CD分配
作者:
Louis-Pierre Armellin
;
Virginie Dureuil
;
Olivier Guillaume
;
Philippe Alet
;
Brad Eichelberger
;
Michel Egreteau
;
Marco Polli
;
Berta Dinu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
focus;
dose;
inline;
process monitoring;
line-end shortening;
production;
5.
Reaching a CD Uniformity of below 3 nm for 300 mm Post-Etch Wafers by Adjusting the CD Distribution of ADI Wafers
机译:
通过调整ADI晶圆的CD分布,使300 mm蚀刻后晶圆的CD均匀性达到3 nm以下
作者:
Jie-Wei Sun
;
Yong-Fa Huang
;
Sho-Shen Lee
;
Chun-Chi Yu
;
Benjamin Szu-Min Lin
;
Steven Fu
;
Mike Slessor
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
PEB;
CD;
metrology;
spectroscopic ellipsometry;
6.
Performance of Measuring Contact Holes using the Opti-Probe~reg; 7341 3-D RT/CD~reg; technology
机译:
使用Opti-Probe〜®7341 3-D RT / CD〜®技术测量接触孔的性能
作者:
Osman Sorkhabi
;
Heath Pois
;
Hanyou Chu
;
Youxian Wen
;
Jon Opsal
;
Won D. Kim
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
metrology;
spectroscopic ellipsometry;
scatterometry;
critical dimension;
contact hole;
7.
Novel CD-SEM calibration reference patterned by EB cell projection lithography
机译:
通过EB细胞投影光刻图案化的新型CD-SEM校准参考
作者:
Yoshinori Nakayama
;
Satoshi Gonda
;
Ichiko Misumi
;
Tomizo Kurosawa
;
Jun-ichiro Kitta
;
Hisaichi Mine
;
Katsuhiro Sasada
;
Shozo Yoneda
;
Takeshi Mizuno
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
grating reference;
CD-SEM;
EB cell projection lithography;
silicon dry etching. 100-nm pitch size;
8.
OPC Accuracy Enhancement through Systematic OPC Calibration and Verification Methodology for Sub-100nm Node
机译:
通过系统的OPC校准和验证方法为100nm以下节点提高OPC精度
作者:
Hyunjo Yang
;
Jaeseung Choi
;
Byungug Cho
;
Byeongho Cho
;
Donggyu Yim
;
Jinwoong Kim
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
graphic DRAMs;
RET;
CAD data;
OPC calibration;
OPC verification;
OPC accuracy;
9.
Metrology of deep trench etched memory structures using 3D scatterometry
机译:
使用3D散射测量的深沟槽蚀刻存储结构的计量
作者:
Peter Reinig
;
Rene Dost
;
Manfred Moert
;
Thomas Hingst
;
Ulrich Mantz
;
Jasen Moffitt
;
Sushil Shakya
;
Christopher J. Raymond
;
Mike Littau
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
scatterometry;
optical;
metrology;
diffraction;
CD;
etch;
trench;
process;
memory;
control;
10.
Methodology and mechanism Study on High Aspect Ratio (HAR) contact bottom image in Scanning Electron Microscopy
机译:
扫描电子显微镜中高纵横比(HAR)接触底部图像的方法和机理研究
作者:
H.C. Kang
;
J.T. Lim
;
J.S. Choi
;
T.Y. Lee
;
B.H. Lee
;
S.B. Jin
;
D.H. Cho
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
high aspect ratio(HAR) contact;
SEM;
charging;
11.
Information Server Test Case: The Effects of Probe Current Stability on CD-SEM Measurement
机译:
信息服务器测试用例:探针电流稳定性对CD-SEM测量的影响
作者:
Susan Redmond
;
Ron Weller
;
Richard Tomasco
;
Bill Keese
;
Nick Spaniola
;
Tatsuya Maeda
;
Kenichi Takenouchi
;
Lorena Page
;
Alex Danilevsky
;
Roger Williams
;
Daniel Berger
;
Bron Ward
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
information server;
CD-SEM;
probe current stability;
extracting voltage;
CD measurement;
12.
A new matching engine between design layout and SEM image of semiconductor device
机译:
半导体器件设计布局与SEM图像之间的新匹配引擎
作者:
Hidetoshi Morokuma
;
Akiyuki Sugiyama
;
Yasutaka Toyoda
;
Wataru Nagatomo
;
Takumichi Sutani
;
Ryoichi Matsuoka
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
CD-SEM;
OPC;
image processing;
pattern matching;
SEM;
design;
layout;
13.
Application of scatterometry for evaluation of lithographic process and OPC model generation
机译:
散射测量在光刻工艺评估和OPC模型生成中的应用
作者:
Karen Huang
;
Joungchel Lee
;
Youxian Wen
;
Jon Opsal
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
scatterometry;
ECD;
OPC model calibration;
lithography;
sampling plan;
14.
A super-precision evaluation method of ultra-low expansion glasses using the line-focus-beam ultrasonic material characterization system
机译:
使用线聚焦束超声材料表征系统的超低倍率眼镜超精密评估方法
作者:
Jun-ichi Kushibiki
;
Mototaka Arakawa
;
Yuji Ohashi
;
Kouji Suzuki
;
Takahisa Maruyama
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
line-focus-beam ultrasonic material characterization system;
velocity measurement;
leaky surface acoustic waves;
ultra-low expansion glasses;
TiO_2-SiO_2 glass;
CTE evaluation;
EUVL system;
15.
Characterization and improvement of unpatterned wafer defect review on SEMs
机译:
SEM上无图案晶片缺陷检查的特性和改进
作者:
Alan S. Parkes
;
Zane Marek
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
defect review;
defect relocation;
defect scanner errors;
alignment errors;
16.
Arbitrary cross sections and stack forms measurement simulations for the semiconductor circuits by scatterometry
机译:
通过散射法对半导体电路的任意横截面和堆叠形式进行测量模拟
作者:
Hirokimi Shirasaki
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
scatterometry;
semiconductor;
silicon;
trench measurements;
RCWA;
modal method;
FDTD method;
17.
Critical dimension control on I-line steppers
机译:
I线步进机的关键尺寸控制
作者:
R. Schiwon
;
A. G. Grpierre
;
M. Kubis
;
U. P. Schroder
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
I-line stepper;
critical dimension control structure;
CD range;
sampling;
18.
Dual Side Lithography Measurement, Precision and Accuracy
机译:
双面光刻测量,精度和准确度
作者:
Daniel Schurz
;
Warren W. Flack
;
Robert L. Hsieh
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
dual side alignment;
lithography tool performance;
precision;
accuracy;
repeatability;
reproducibility;
19.
Design-Based Metrology: Advanced Automation for CD-SEM Recipe Generation
机译:
基于设计的计量:CD-SEM配方生成的高级自动化
作者:
C. Tabery
;
L. Capodieci
;
C. Haidinyak
;
K. Shah
;
M. Threefoot
;
B. Choo
;
B. Singh
;
Y. Nehmadi
;
C. Ofek
;
O. Menadeva
;
A. Ben-Porath
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
design based metrology;
design based manufacturing;
CD-SEM;
metrology request;
SEM automation;
CAD;
OPC model calibration;
RET;
DFM;
20.
Distance-based Standard Deviation Analysis Method for Line Width Roughness Data
机译:
线宽粗糙度数据的基于距离的标准偏差分析方法
作者:
Thomas J. Bzik
;
Madhukar B. Rao
;
Peng Zhang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
21.
Exploring Advanced Mask Repair Options
机译:
探索高级面膜修复选项
作者:
Emily Fisch
;
Colin Brodsky
;
Philip Flanigan
;
Mark Lawliss
;
Jed Rankin
;
David Thibault
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
photomask;
repair;
AIMS;
22.
Examination of Possible Primary Mechanisms for 193nm Resist Shrinkage
机译:
检验193nm电阻收缩的可能主要机理
作者:
Lior Akerman
;
Guy Eytan
;
Ryusuke Uchida
;
Satoshi Fujimura
;
Takeyoshi Mimura
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
193nm resist;
shrinkage;
carbonyl;
mechanism;
SEM;
blanket;
exposure;
heating;
voids;
cavities;
collapse;
23.
Impact of Averaging of CD-SEM Measurements on Process Stability in a Full Volume DRAM Production Environment
机译:
在批量DRAM生产环境中,平均CD-SEM测量对过程稳定性的影响
作者:
Thomas Marschner
;
Uwe Kramer
;
Anice Lee
;
Christian Stief
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
CD metrology;
CD-SEM;
CD uniformity;
process stability;
24.
Monitoring system of effective exposure dose and focus
机译:
有效暴露剂量和焦点监测系统
作者:
Sachiko Yabe
;
Akiyuki Minami
;
Takashi Nasuno
;
Yoshihisa Matsubara
;
Kouichirou Tsujita
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
effective exposure dose;
effective focus;
monitor and defocus;
25.
X-Ray Nanoplasma Instruments and Tools
机译:
X射线纳米等离子体仪器和工具
作者:
S.H. Bloom
;
H. Rieger
;
J. Alwan
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
laser produced plasma;
nanoplasma;
soft x-rays;
analysis;
deposition;
ablation;
26.
The Effect of Mask Substrate and Mask Process Steps on Patterned Photomask Flatness
机译:
掩模基板和掩模工艺步骤对图案化光掩模平坦度的影响
作者:
Kenneth Racette
;
Monica Barrett
;
Michael Hibbs
;
Max Levy
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
mask;
substrate;
mask blank;
flatness;
pellicle;
mask flatness;
27.
The Performances of Different Overlay Mark Types at 65nm Node on 300-mm Wafers
机译:
300毫米晶圆上65纳米节点上不同叠加标记类型的性能
作者:
H. T. Tseng
;
Ling-Chieh Lin
;
I. H. Huang
;
Benjamin Szu-Min Lin
;
Chin-Chou Kevin Huang
;
Chien-Jen Huang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
overlay;
BiB;
AIM;
TMU;
target robustness;
28.
Spectroscopic ellipsometer with the smallest measurement spot
机译:
光谱椭偏仪具有最小的测量点
作者:
Akihiro Kinoshita
;
Fujikazu Kitamura
;
Masahiro Horie
;
Tomohiro Yoshida
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
small spot;
spectroscopic ellipsometry;
29.
Standing wave reduction of positive and negative I-line resists
机译:
正负I线抗蚀剂的驻波减小
作者:
A. G. Grpierre
;
R. Schiwon
;
F. Finger
;
U. P. Schroder
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
I-line;
standing waves;
positive resist;
negative amplified resist;
30.
SEMATECH's World Class EUV Mask Blank Metrology Toolset
机译:
SEMATECH的世界级EUV面罩空白计量工具集
作者:
Soon-Cheon Seo
;
Jan Cavelaars
;
John Maltabes
;
Sang-In Han
;
Patrick Kearney
;
Dave Krick
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
Mo/Si;
mask;
extreme ultraviolet lithography;
atomic force microscope;
defect density;
defect inspection;
focused-ion beam;
scanning electron microscope;
energy dispersive x-ray;
EUV reflectometer;
X-ray reflectivity;
interferometer;
31.
Sampling Plan Optimization for CD Control in Low k_1 Lithography
机译:
低k_1光刻中CD控制的采样计划优化
作者:
Masafumi Asano
;
Toru Koike
;
Toru Mikami
;
Hideaki Abe
;
Takahiro Ikeda
;
Satoshi Tanaka
;
Shoji Mimotogi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
CD control;
APC;
sampling plan;
CD-SEM;
scatterometry;
32.
Qualification of an Integrated Scatterometer for CD Measurements of Sub-100nm Resist Structures in a High-Volume 300mm DRAM Production Environment
机译:
在300mm大批量DRAM生产环境中用于测量100nm以下电阻结构的CD的集成散射仪的资格
作者:
Thomas Marschner
;
Goeran Fleischer
;
Stefan Fuchs
;
Michael Friedrich
;
Uwe Kramer
;
Matthias Voigt
;
Dave Hetzer
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
scatterometry;
integrated metrology;
lithography;
clean track;
33.
Optical characterization of diffractive optical elements replicated in polymers
机译:
聚合物中复制的衍射光学元件的光学特性
作者:
Sigitas Tamulevicius
;
Giedrius Janusas
;
Asta Guobiene
;
Arvydas Palevicius
;
Vytautas Ostasevicius
;
Mindaugas rulevicius
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
diffractive optical elements;
replication;
polymers;
diffraction efficiency;
system simulation;
34.
On Board Polarization Measuring Instrument for High NA Excimer Scanner
机译:
高NA准分子扫描仪的机载偏振测量仪
作者:
Toru Fujii
;
Naonori Kita
;
Yasushi Mizuno
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
polarization measurement;
polarization state;
polarized illuminator;
ArF scanner;
lithography;
35.
Observation of subsurface structures using high-energy SEM
机译:
使用高能SEM观察地下结构
作者:
Miyako Matsui
;
Syuntaro Machida
;
Hideo Todokoro
;
Tadashi Otaka
;
Aritoshi Sugimoto
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
3-D;
high-energy SEM;
electron;
underlying structure;
subsurface;
36.
Modeling of Wafer Alignment Marks Using Geometrical Theory of Diffraction (GTD)
机译:
使用衍射几何理论(GTD)对晶片对准标记进行建模
作者:
Chin Boon Tan
;
Swee Hock Yeo
;
rew Khoh
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
geometrical theory of diffraction (GTD);
alignment;
grating;
37.
Investigation on the effect of Metallic impurity Zn in Solvent during Photolithography process
机译:
光刻过程中溶剂中金属杂质锌的影响研究
作者:
Byoung-Tak Jeon
;
Ook-Hyun Kim
;
Jeong-Heon Baik
;
Jeong-Hyuk Ha
;
Il-Ho Lee
;
Weon-Sik Yang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
HMDS;
solvent;
lithography;
photo resist;
hexamethyldisiloxane (HMDS) prime;
bottom anti reflective coating (BARC);
PGMEA;
adhesion;
pattern lifting;
38.
Influence of material on process focus budget and process window of 80 nm DRAM devices
机译:
材料对80 nm DRAM器件的工艺焦点预算和工艺窗口的影响
作者:
Sung Won Choi
;
SukJoo Lee
;
Jangho Shin
;
Sang-Gyun Woo
;
HanKu Cho
;
Joo-Tae Moon
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
multiple phase-phase shift mask;
focus monitoring;
double side polished wafer;
single side polished wafer;
process window;
39.
Improving STI etch process development by replacing XSEM metrology with scatterometry
机译:
通过用散射测量法代替XSEM计量来改进STI蚀刻工艺的开发
作者:
Matthew Sendelbach
;
res Munoz
;
Pedro Herrera
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
scatterometry;
XSEM;
total Measurement uncertainty;
TMU;
TMU analysis;
shallow trench isolation;
STI;
etch process development;
SCD;
spectroscopic CD;
40.
Implementation of Integrated Auto Macro-Defect Inspection in the Photolithography Tool Cluster
机译:
光刻工具集群中集成自动宏观缺陷检测的实现
作者:
Richard E. Lewis
;
Vinayan C. Menon
;
Vana Vishnu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
defect;
macro;
develop;
inspection;
automated;
41.
Calibration of a dual probe NanoCaliper™ AFM for CD metrology
机译:
用于CD计量的双探头NanoCaliper™AFM的校准
作者:
Paul F. McClure
;
Vladimir Mancevski
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
critical-dimension;
atomic force microscope;
nanocaliper;
calibration;
dual-probe;
metrology;
42.
Advances in Phase-Grating-Based Wafer Alignment Systems
机译:
基于相位光栅的晶圆对准系统的进展
作者:
Stefan Keij
;
Irwan Setija
;
Gerbr van der Zouw
;
Earl Ebert
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
wafer alignment sensor;
IC processing;
overlay;
mark design;
phase grating;
43.
Comparisons of overlay measurement using conventional bright-field microscope and angular scatterometer
机译:
使用常规明场显微镜和角散射仪进行重叠测量的比较
作者:
Chun-Hung Ko
;
Yi-sha Ku
;
Nigel Smith
;
Den-Ming Shyu
;
Shih-Chun Wang
;
Sheng-Hua Lu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
scatterometry;
overlay;
grating;
metrology;
44.
Double-grating Lateral Shearing Interferometer for EUV Optics At-wavelength Measurement
机译:
双光栅横向剪切干涉仪,用于EUV光学波长测量
作者:
Zhiqiang Liu
;
Masashi Okada
;
Katsumi Sugisaki
;
Mikihiko Ishii
;
Yucong Zhu
;
Katsura Ohtaki
;
Jun Saito
;
Akiyosi Suzuki
;
Masanobu Hasegawa
;
Chidane Ohuchi
;
Seima Kato
;
Masahito Niibe
;
Katsuhiko Murakami
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
interferometer;
metrology;
EUVL;
shearing interferometer;
calibration;
DLSI;
grating;
diffraction;
45.
Comprehensive CD Uniformity Control across Lithography and Etch
机译:
光刻和蚀刻过程中全面的CD均匀性控制
作者:
Qiaolin Zhang
;
Cherry Tang
;
Tony Hsieh
;
Nick Maccrae
;
Bhanwar Singh
;
Kameshwar Poolla
;
Costas J. Spanos
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
critical dimension uniformity (CDU);
across-wafer CDU;
multi-zone PEB bake plate;
zone offsets;
plasma etching signature;
multi-objective optimization;
minimax optimization;
process control;
process modeling;
46.
Etching error analysis of dot grating array in micro-lithography fabrication
机译:
微光刻制造中点阵阵列的刻蚀误差分析
作者:
N. K. Bao
;
Z. Y. Chen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
dot grating array;
error analysis;
binary optics;
electro-beam lithography;
47.
From CD to 3D Sidewall Roughness Analysis with 3D CD-AFM
机译:
使用3D CD-AFM从CD到3D侧壁粗糙度分析
作者:
Johann Foucher
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
CD;
AFM;
SEM;
precision;
roughness;
LER;
LWR;
accuracy;
48.
Impact of EUV Mask Pattern Profile Shape on CD Measured by CD-SEM
机译:
CD-SEM测量EUV掩模图案轮廓形状对CD的影响
作者:
Uwe Dersch
;
Arnd Korn
;
Cornelia Engelmann
;
Carl Georg Frase
;
Wolfgang Haessler-Grohne
;
Harald Bosse
;
Florian Letzkus
;
Joerg Butschke
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XIX pt.2》
|
2005年
关键词:
EUV;
CD-SEM;
metrology;
pattern profile;
monte carlo simulation;
意见反馈
回到顶部
回到首页