掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
IEEE International Conference on Computer Design
IEEE International Conference on Computer Design
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Data rate maximization by adaptive thresholding RF power management under renewable energy
机译:
可再生能源下自适应阈值电源管理的数据速率最大化
作者:
Tang Weiguo
;
Wang Lei
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
2.
Delay test quality maximization through process-aware selection of test set size
机译:
通过过程感知测试集大小的选择延迟测试质量最大化
作者:
Arslan Baris
;
Orailoglu Alex
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
3.
Welcome to ICCD 2010!
机译:
欢迎来到ICCD 2010!
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
4.
A flexible simulation methodology and tool for nanoarray-based architectures
机译:
基于纳米阵列架构的灵活仿真方法和工具
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
5.
LMS-based low-complexity game workload prediction for DVFS
机译:
基于LMS的低复杂性游戏DVFS的工作负载预测
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
6.
Improving cache performance by combining cost-sensitivity and locality principles in cache replacement algorithms
机译:
通过组合缓存替换算法中的成本灵敏度和局部原理来提高缓存性能
作者:
Sheikh Rami
;
Kharbutli Mazen
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
7.
Inter-socket victim cacheing for platform power reduction
机译:
Intel-Socket受害者缓存平台功率降低
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
8.
QoS scheduling for NoCs: Strict Priority Queueing versus Weighted Round Robin
机译:
NOCS QoS调度:严格优先级排队与加权循环
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
9.
Author index
机译:
作者索引
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
10.
Scenario-based design space exploration of MPSoCs
机译:
基于场景的MPSOC设计空间探索
作者:
van Stralen Peter
;
Pimentel Andy
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
11.
Helia: Heterogeneous Interconnect for Low Resolution Cache Access in snoop-based chip multiprocessors
机译:
Helia:基于Snoop的芯片多处理器中的低分辨率缓存访问的异构互连
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
12.
A lightweight run-time scheduler for multitasking multicore stream applications
机译:
用于多任务多核流应用程序的轻量级运行时调度程序
作者:
Baker Michael A.
;
Chatha Karam S.
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
13.
Rate-monotonic scheduling for reducing system-wide energy consumption for hard real-time systems
机译:
用于减少硬实时系统的系统范围内能耗的速率单调调度
作者:
Niu Linwei
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
14.
Minimizing total area of low-voltage SRAM arrays through joint optimization of cell size, redundancy, and ECC
机译:
通过细胞大小,冗余和ECC的联合优化最小化低压SRAM阵列的总面积
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
15.
Pulse latch based FSRs for low-overhead hardware implementation of cryptographic algorithms
机译:
基于脉冲锁存器的C加密算法的低开销硬件实现的FSR
作者:
Sharif Mansouri Shohreh
;
Dubrova Elena
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
16.
Efficient provably good OPC modeling and its applications to interconnect optimization
机译:
高效可提供良好的OPC建模及其在互连优化的应用程序
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
17.
DDPSL: An easy way of defining properties
机译:
DDPSL:一个简单的方法定义了属性
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
18.
Predicting the throughput of multiprocessor applications under dynamic workload
机译:
在动态工作量下预测多处理器应用的吞吐量
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
19.
Energy optimal on-line Self-Test of microprocessors in WSN nodes
机译:
WSN节点中微处理器的能量最佳在线自检
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
20.
Adaptive TDMA bus allocation and elastic scheduling: A unified approach for enhancing robustness in multi-core RT systems
机译:
自适应TDMA总线分配和弹性调度:一种统一的方法,可提高多核RT系统中的鲁棒性
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
21.
Out-of-order retirement of instructions in sequentially consistent multiprocessors
机译:
在顺序一致的多处理器中的指令退休
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
22.
Enhancing dual-V
t
design with consideration of on-chip temperature variation
机译:
考虑片上温度变化,增强双V
T INM>设计
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
23.
Lizard: Energy-efficient hard fault detection, diagnosis and isolation in the ALU
机译:
蜥蜴:节能硬故障检测,诊断和孤立在ALU中
作者:
Hong Seokin
;
Kim Soontae
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
24.
Optimization of back pressure and throughput for latency insensitive systems
机译:
延迟不敏感系统的背压和吞吐量优化
作者:
Xue Bin
;
Shukla Sandeep K.
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
25.
Microarchitecture aware gate sizing: A framework for circuit-architecture co-optimization
机译:
MicroArchitecture意识到门尺寸:电路架构协同优化框架
作者:
Roy Sanghamitra
;
Chakraborty Koushik
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
26.
Efficient test response compaction for robust BIST using parity sequences
机译:
使用奇偶校验序列的鲁棒BIST有效的测试响应压缩
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
27.
DfT optimization for pre-bond testing of 3D-SICs containing TSVs
机译:
DFT优化,用于包含TSV的3D-SICS的预键测试
作者:
Li Jia
;
Xiang Dong
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
28.
Optimal power/performance pipelining for error resilient processors
机译:
误差弹性处理器的最佳功率/性能流水线
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
29.
Elaboration-time synthesis of high-level language constructs in SystemC-based microarchitectural simulators
机译:
基于Systemc基微架构模拟器的高级语言构建阐态时间合成
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
30.
Recent additions to the ARMv7-A architecture
机译:
最近的ARMv7-A架构
作者:
Brash David
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
31.
Thermal-aware scratchpad memory design and allocation
机译:
热感知Scratchpad内存设计和分配
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
32.
Dynamic register file partitioning in superscalar microprocessors for energy efficiency
机译:
超大仪微处理器中的动态寄存器文件分区,以实现能效
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
33.
A high performance router with dynamic buffer allocation for on-chip interconnect networks
机译:
具有用于片上互连网络的动态缓冲区分配的高性能路由器
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
关键词:
DVOQR;
Network on chip;
flow control;
router;
throughput;
zero-load latency;
34.
DSS: Applying asynchronous techniques to architectures exploiting ILP at compile time
机译:
DSS:将异步技术应用于架构在编译时利用ILP
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
35.
Robust and energy-efficient DSP systems via output probability processing
机译:
通过输出概率处理鲁棒和节能DSP系统
作者:
Abdallah Rami A.
;
Shanbhag Naresh R.
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
36.
Spintronic logic gates for spintronic data using magnetic tunnel junctions
机译:
使用磁隧道结的旋转反应数据的Spintronic逻辑门
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
37.
A tag-based cache replacement
机译:
基于标签的缓存替换
作者:
Zhang Chuanjun
;
Xue Bing
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
38.
Toward reliable SRAM-based device identification
机译:
朝着可靠的基于SRAM的设备识别
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
39.
Implicit hints: Embedding hint bits in programs without ISA changes
机译:
隐含提示:在没有ISA的情况下嵌入程序中的提示位
作者:
Vandierendonck Hans
;
De Bosschere Koen
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
40.
VEDA: Variation-aware energy-efficient Discrete Wavelet Transform architecture
机译:
VEDA:变异感知节能离散小波变换架构
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
41.
Countering code injection attacks with TLB and I/O monitoring
机译:
用TLB和I / O监控进行反击代码注入攻击
作者:
Ahn Dongkyun
;
Lee Gyungho
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
关键词:
Code injection attack;
Cyber attack detection;
Translation look-aside buffer;
Virtual address translation;
42.
Bandwidth optimization in asynchronous NoCs by customizing link wire length
机译:
通过自定义链接线长度在异步NOC中的带宽优化
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
43.
High throughput, low set-up time, reconfigurable linear Feedback Shift Registers
机译:
高吞吐量,低设置时间,可重新配置的线性反馈移位寄存器
作者:
Nas R.J.M.
;
van Berkel C.H.
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
44.
The Fidelity Property of the Elmore Delay Model in actual comparison of routing algorithms
机译:
Elmore延迟模型在路由算法实际比较中的保真特性
作者:
Santos Glauco
;
Reimann Tiago
;
Johann Marcelo
;
Reis Ricardo
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
45.
Towards cool and reliable digital systems: RT level CED techniques with runtime adaptability
机译:
用于酷酷且可靠的数字系统:RT水平CED技术具有运行时适应性
作者:
Liu Yu
;
Wu Kaijie
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
46.
Feasibility study of dynamic Trusted Platform Module
机译:
动态可信平台模块的可行性研究
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
47.
SWIFT: A SWing-reduced interconnect for a Token-based Network-on-Chip in 90nm CMOS
机译:
SWIFT:在90nm CMOS中的基于令牌的芯片上的旋转互连
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
48.
Computational models for the age of multicore processing
机译:
多核加工时代的计算模型
作者:
Paul Wolfgang
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
49.
Exploiting SIMD extensions for linear image processing with OpenCL
机译:
利用OpenCL的线性图像处理的SIMD扩展
作者:
Antao Samuel
;
Sousa Leonel
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
50.
Crosstalk modeling to predict channel delay in Network-on-Chips
机译:
串扰建模,以预测网络芯片中的信道延迟
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
51.
Skew-aware capacitive load balancing for low-power zero clock skew rotary oscillatory array
机译:
低功耗零时钟偏斜旋转振荡阵列的歪斜感知电容负载平衡
作者:
Honkote Vinayak
;
Taskin Baris
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
52.
Generation of I/O sequences for a high-level design from those in post-silicon for efficient post-silicon debugging
机译:
从硅后硅中的高级设计的I / O序列的产生高效硅调试
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
53.
Using variable clocking to reduce leakage in synchronous circuits
机译:
使用变量时钟减少同步电路中的泄漏
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
54.
Boolean factoring with multi-objective goals
机译:
布尔定向多目标目标
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
55.
Efficient MIMD architectures for high-performance ray tracing
机译:
高性能射线跟踪的高效模拟架构
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
56.
Practical completion detection for 2-of-N delay-insensitive codes
机译:
2-N型延迟不敏感码的实际完成检测
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
57.
A unified addition structure for moduli set #x007B;2n#x2212;1, 2n, 2n+1#x007D; based on a novel RNS representation
机译:
基于新颖的RN表示,Moduli Set {2 n sup> -1,2 n sup>,2 n sup> +1}的统一加法结构
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
58.
Temperature-to-power mapping
机译:
温度到功率映射
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
59.
Routability-driven flip-flop merging process for clock power reduction
机译:
用于时钟功率降低的可路由驱动的触发器合并过程
作者:
Chen Zhi-Wei
;
Yan Jin-Tai
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
60.
Sub-threshold charge recovery circuits
机译:
子阈值充电恢复电路
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
61.
A co-processor approach for accelerating data-structure intensive algorithms
机译:
一种加速数据结构密集算法的共处理器方法
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
62.
RTOS-aware modeling of embedded hardware/software systems
机译:
嵌入式硬件/软件系统的RTOS感知建模
作者:
Muller Matthias
;
Gerlach Joachim
;
Rosenstiel Wolfgang
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
63.
Threads vs. caches: Modeling the behavior of parallel workloads
机译:
线程与缓存:建模并行工作负载的行为
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
64.
An energy model for graphics processing units
机译:
图形处理单元的能量模型
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
65.
Insertion policy selection using Decision Tree Analysis
机译:
使用决策树分析插入策略选择
作者:
Khan Samira
;
Jimenez Daniel A.
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
66.
External reviewers
机译:
外部审稿人
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
67.
A voting-based working set assessment scheme for dynamic cache resizing mechanisms
机译:
动态高速缓存调整机制的基于投票的工作集评估方案
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
68.
EQUIPE: Parallel equivalence checking with GP-GPUs
机译:
用GP-GPU进行平行等价检查
作者:
Chatterjee Debapriya
;
Bertacco Valeria
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
69.
A fine-grained link-level fault-tolerant mechanism for networks-on-chip
机译:
用于芯片网络的细粒度链路电平容错机制
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
70.
Exploiting application-dependent ambient temperature for accurate architectural simulation
机译:
利用应用依赖的环境温度进行准确的架构模拟
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
71.
Identifying optimal generic processors for biomedical implants
机译:
识别生物医学植入物的最佳通用处理器
作者:
Strydis Christos
;
Dave Dhara
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
72.
Lowering the latency of interfaces for rationally-related frequencies
机译:
降低合理相关频率的接口延迟
作者:
Chabloz Jean-Michel
;
Hemani Ahmed
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
73.
A study on performance benefits of core morphing in an asymmetric multicore processor
机译:
非对称多核处理器中核心变形的性能益处研究
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
74.
M5 based EDGE architecture modeling
机译:
基于M5的边缘架构建模
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
75.
A control-theoretic energy management for fault-tolerant hard real-time systems
机译:
用于容错硬实时系统的控制理论能源管理
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
关键词:
Hard real-time embedded systems;
dynamic voltage scaling;
energy consumption;
fault-tolerance;
feedback scheduling;
76.
Combined optimal and heuristic approaches for multiple constant multiplication
机译:
多种常量乘法的最佳和启发式方法
作者:
Thong Jason
;
Nicolici Nicola
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
关键词:
algorithms;
common subexpression elimination;
directed acyclic graphs;
multiple constant multiplication;
77.
Incremental gate sizing for late process changes
机译:
延迟过程变化的增量门尺寸
作者:
Lee John
;
Gupta Puneet
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
78.
IP characterization methodology for fast and accurate power consumption estimation at transactional level model
机译:
交易级模型快速准确功耗估计的IP表征方法
作者:
Rogers-Vallee Michel
;
Cantin Marc-Andre
;
Moss Laurent
;
Bois Guy
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
79.
A simple pipelined logarithmic multiplier
机译:
简单的流水线对数倍增器
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
80.
On mismatch number distribution of nanocrossbar logic mapping
机译:
关于纳米杆逻辑映射的不匹配号分布
作者:
Su Yehua
;
Rao Wenjing
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
81.
Design and implementation of a special purpose embedded system for neural machine interface
机译:
用于神经机接口专用嵌入式系统的设计与实现
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
82.
A radix-10 digit recurrence division unit with a constant digit selection function
机译:
具有恒定数字选择功能的基数-10位复频分割单元
作者:
{missing}
会议名称:
《IEEE International Conference on Computer Design》
|
2010年
83.
Low power, high throughput network-on-chip fabric for 3D multicore processors
机译:
低功耗,高吞吐量网络上的3D多核处理器
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
关键词:
3D NoC;
3D multi-core processsor;
VeSFET;
84.
A machine learning approach to modeling power and performance of chip multiprocessors
机译:
芯片多处理器电源和性能的机器学习方法
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
关键词:
CPI;
architecture;
chip multiprocessor;
machine learning;
modeling;
power;
85.
Multi-level wordline driver for low power SRAMs in nano-scale CMOS technology
机译:
用于纳米级CMOS技术的低功耗SRAM的多级字体驱动器
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
86.
3D vs. 2D analysis of FinFET logic gates under process variations
机译:
过程变化下Finfet逻辑门的3D与2D分析
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
87.
Enhanced symbolic simulation of a round-robin arbiter
机译:
增强了循环仲裁器的象征性模拟
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
88.
Blue team red team approach to hardware trust assessment
机译:
蓝色团队红色团队方法探讨硬件信任评估
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
89.
Special-purposed VLIW architecture for IEEE-754 quadruple precision elementary functions on FPGA
机译:
用于IEEE-754的特殊VLIW架构,用于FPGA上的IEEE-754四元精度初级功能
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
90.
Task model for on-chip communication infrastructure design for multicore systems
机译:
用于多核系统片上通信基础设施设计的任务模型
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
关键词:
Multicore Systems;
NoC;
Task Scheduling;
91.
Dynamic fine-grain body biasing of caches with latency and leakage 3T1D-based monitors
机译:
具有延迟和泄漏3T1D的监视器的动态细粒度偏置缓存
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
92.
Adaptable architectures for distributed visual target tracking
机译:
用于分布式视觉目标跟踪的适应性架构
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
93.
Techniques for LI-BDN synthesis for hybrid microarchitectural simulation
机译:
杂交微架构模拟的Li-BDN合成技术
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
94.
An energy- and performance-aware DRAM cache architecture for hybrid DRAM/PCM main memory systems
机译:
用于混合DRAM / PCM主内存系统的能量和性能感知的DRAM缓存架构
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
95.
Impact and optimization of lithography-aware regular layout in digital circuit design
机译:
光刻意识的常规布局在数字电路设计中的影响与优化
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
关键词:
CMOS digital circuits;
Transistor regular layout;
layout pattern optimization;
lithography-aware design;
regular fabric;
standard cells;
structured ASIC;
96.
Energy-aware Standby-Sparing Technique for periodic real-time applications
机译:
定期实时应用的能量感知备用备用技术
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
关键词:
EDF Scheduling;
Energy Management;
Real-Time Systems;
Reliability Dynamic Voltage Scaling;
Standby-Sparing;
97.
Precise exception support for decoupled run-time monitoring architectures
机译:
对解耦运行时监控体系结构的精确例外支持
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
98.
AIG rewriting using 5-input cuts
机译:
AIG使用5输入剪辑重写
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
关键词:
Boolean matching;
Logic optimization;
NPN classes;
cut enumeration;
rewriting;
99.
CPACT - The conditional parameter adjustment cache tuner for dual-core architectures
机译:
CPACT - 双核架构的条件参数调整缓存调谐器
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
关键词:
cache tuning;
embedded systems;
energy savings;
low power;
multi-core;
100.
EM and circuit co-simulation of a reconfigurable hybrid wireless NoC on 2D ICs
机译:
EM和电路共同仿真在2D IC上的可重构混合无线NOC
作者:
(missing)
会议名称:
《IEEE International Conference on Computer Design》
|
2011年
意见反馈
回到顶部
回到首页