掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE International Electron Devices Meeting
IEEE International Electron Devices Meeting
召开年:
2016
召开地:
San Francisco(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Diamond — A quantum engineer's best friend
机译:
钻石-量子工程师的最好朋友
作者:
Marko Lončar
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Diamond;
Photonics;
Photonic crystals;
Nonlinear optics;
Color;
Couplings;
Optical device fabrication;
2.
Automotive requirements to non-volatile memories — A holistic approach to qualification
机译:
汽车对非易失性存储器的要求-全面的鉴定方法
作者:
V. Kottler
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Nonvolatile memory;
Qualifications;
Robustness;
Failure analysis;
Automotive applications;
3.
Challenges and opportunities in flexible electronics
机译:
柔性电子的挑战与机遇
作者:
R. D. Bringans
;
Janos Veres
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Printing;
Substrates;
Sensors;
Flexible electronics;
Consumer electronics;
Plastics;
Fabrication;
4.
Spin-based quantum computing in silicon CMOS-compatible platforms
机译:
硅CMOS兼容平台中基于自旋的量子计算
作者:
A. S. Dzurak
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Silicon;
Quantum dots;
Logic gates;
Quantum computing;
Coherence;
Atomic measurements;
Nanotechnology;
5.
Additive manufacturing for electronics “Beyond Moore”
机译:
电子产品增材制造“超越摩尔”
作者:
J. Veres
;
R. D. Bringans
;
E. M. Chow
;
J. P. Lu
;
P. Mei
;
S. E. Ready
;
D. E. Schwartz
;
R. A. Street
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Three-dimensional printing;
Three-dimensional displays;
Integrated circuit interconnections;
Fabrication;
Ink;
6.
Active terahertz metasurface devices
机译:
有源太赫兹超表面装置
作者:
H.-T. Chen
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Modulation;
Metamaterials;
Optical resonators;
Optical switches;
Imaging;
Substrates;
Graphene;
7.
Flexible metamaterials, comprising multiferroic films
机译:
柔性超材料,包括多铁性薄膜
作者:
Y. P. Lee
;
Y. J. Yoo
;
Y. J. Kim
;
H. M. Son
;
J. S. Hwang
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Films;
Absorption;
Dielectrics;
Substrates;
Silver;
Metamaterials;
Ink jet printing;
8.
Quantum computing within the framework of advanced semiconductor manufacturing
机译:
先进半导体制造框架内的量子计算
作者:
J. S. Clarke
;
N. Thomas
;
J. Roberts
;
R. Pilliarisetty
;
Z. Yoscovits
;
R. Caudillo
;
H. George
;
K. J. Singh
;
D. Michalak
;
P. Amin
;
A. Mei
;
A. Bruno
;
S. Poletto
;
J. Boter
;
G. Droulers
;
N. Kalhor
;
N. Samkharadze
;
J. P. Dehollain
;
L. Yeoh
;
A. Sammak
;
G. Scappucci
;
M. Veldhorst
;
L. DiCarlo
;
L. M. K. Vandersypen
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Silicon;
Logic gates;
Quantum computing;
Quantum dots;
Resonant frequency;
MODFETs;
9.
Symbiotic low-power, smart and secure technologies in the age of hyperconnectivity
机译:
超连接时代的共生低功耗,智能和安全技术
作者:
M. N. Semeria
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Internet of Things;
computer network security;
energy conservation;
10.
A ReRAM-based single-NVM nonvolatile flip-flop with reduced stress-time and write-power against wide distribution in write-time by using self-write-termination scheme for nonvolatile processors in IoT era
机译:
基于ReRAM的单NVM非易失性触发器,通过针对物联网时代的非易失性处理器使用自写终止方案,减少了应力时间和写功率,从而避免了写时的广泛分布
作者:
Chieh-Pu Lo
;
Wei-Hao Chen
;
Zhibo Wang
;
Albert Lee
;
Kuo-Hsiang Hsu
;
Fang Su
;
Ya-Chin King
;
Chrong Jung Lin
;
Yongpan Liu
;
Huazhong Yang
;
Pedram Khalili
;
Kang-Lung Wang
;
Meng-Fan Chang
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Nonvolatile memory;
Flip-flops;
Random access memory;
CMOS technology;
Solid state circuits;
Conferences;
Program processors;
11.
50×20 crossbar switch block (CSB) with two-varistors (a-Si/SiN/a-Si) selected complementary atom switch for a highly-dense reconfigurable logic
机译:
具有两个压敏电阻(a-Si / SiN / a-Si)的50×20纵横开关模块(CSB),选择了互补原子开关,以实现高密度可重配置逻辑
作者:
N. Banno
;
M. Tilda
;
K. Okamoto
;
N. Iguchi
;
T. Sakamoto
;
H. Hada
;
H. Ochi
;
H. Onodera
;
M. Hashimoto
;
T. Sugibayashi
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Silicon compounds;
Varistors;
Switches;
Field programmable gate arrays;
Table lookup;
Nitrogen;
Atomic measurements;
12.
ESD diodes in a bulk Si gate-all-around vertically stacked horizontal nanowire technology
机译:
块状Si栅全方位垂直堆叠水平纳米线技术中的ESD二极管
作者:
S.-H. Chen
;
G. Hellings
;
M. Scholz
;
D. Linten
;
H. Mertens
;
R. Ritzenthaler
;
R. Boschke
;
G. Groeseneken
;
N. Horiguchi
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Logic gates;
Gallium arsenide;
FinFETs;
Electrostatic discharges;
Silicon;
Anodes;
Cathodes;
13.
Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mV/decade and Ion = 10 μA/μm for Ioff = 1 nA/μm at Vds = 0.3 V
机译:
Si上的InAs / GaAsSb / GaSb垂直InAs / GaAsSb / GaSb隧穿场效应晶体管,Vds = 0.3 V时Ioff = 1nA /μm时,S = 48mV / decade和Ion =10μA/μm
作者:
E. Memisevic
;
J. Svensson
;
M. Hellenbrand
;
E. Lind
;
L.-E. Wernersson
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Logic gates;
TFETs;
Temperature measurement;
Transconductance;
Tunneling;
14.
Polycrystalline silicon TFTs on a paper substrate using solution-processed silicon
机译:
使用溶液处理的硅在纸质基材上的多晶硅TFT
作者:
M. Trifunovic
;
P. M. Sberna
;
T. Shimoda
;
R. Ishihara
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Substrates;
Silicon;
Thin film transistors;
Fabrication;
Logic gates;
Liquids;
MOS devices;
15.
A novel synthesis of Rent's rule and effective-media theory predicts FEOL and BEOL reliability of self-heated ICs
机译:
Rent规则和有效介质理论的新颖综合预测了自热IC的FEOL和BEOL可靠性
作者:
Woojin Ahn
;
Hai Jiang
;
SangHoon Shin
;
Muhammad Ashraful Alam
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Metals;
Transistors;
Heating;
Integrated circuit reliability;
Integrated circuit modeling;
16.
A 28nm HKMG super low power embedded NVM technology based on ferroelectric FETs
机译:
基于铁电FET的28nm HKMG超低功耗嵌入式NVM技术
作者:
M. Trentzsch
;
S. Flachowsky
;
R. Richter
;
J. Paul
;
B. Reimer
;
D. Utess
;
S. Jansen
;
H. Mulaosmanovic
;
S. Müller
;
S. Slesazeck
;
J. Ocker
;
M. Noack
;
J. Müller
;
P. Polakowski
;
J. Schreiter
;
S. Beyer
;
T. Mikolajick
;
B. Rice
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Logic gates;
Arrays;
Writing;
Switches;
Programming;
Nonvolatile memory;
17.
How to make DRAM non-volatile? Anti-ferroelectrics: A new paradigm for universal memories
机译:
如何使DRAM非易失?反铁电体:通用记忆的新范式
作者:
Milan Pesic
;
Steve Knebel
;
Michael Hoffmann
;
Claudia Richter
;
Thomas Mikolajick
;
Uwe Schroeder
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Random access memory;
Capacitors;
Nonvolatile memory;
Three-dimensional displays;
Electrodes;
Iron;
Hysteresis;
18.
The Zener-Emitter: A novel superluminescent Ge optical waveguide-amplifier with 4.7 dB gain at 92 mA based on free-carrier modulation by direct Zener tunneling monolithically integrated on Si
机译:
齐纳发射器:一种新颖的超发光锗光波导放大器,在硅片上集成了直接齐纳隧穿的自由载流子调制,在92 mA时增益为4.7 dB
作者:
R. Koerner
;
D. Schwaiz
;
I. A. Fischer
;
L. Augel
;
S. Bechler
;
L. Haenel
;
M. Kern
;
M. Oehme
;
E. Rolseth
;
B. Schwartz
;
D. Weisshaupt
;
W. Zhang
;
J. Schulze
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Optical waveguides;
Silicon;
Stimulated emission;
Optical feedback;
Optical pumping;
Optical device fabrication;
Optical amplifiers;
19.
Quantum information processing in a silicon-based system
机译:
基于硅的系统中的量子信息处理
作者:
Tsung-Yeh Yang
;
Aleksey Andreev
;
Yu Yamaoka
;
Thierry Ferrus
;
Shunri Oda
;
Tetsuo Kodera
;
David A. Williams
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Quantum dots;
Coherence;
Logic gates;
Quantum computing;
Charge measurement;
Current measurement;
Voltage measurement;
20.
Vertically stacked-NanoWires MOSFETs in a replacement metal gate process with inner spacer and SiGe source/drain
机译:
具有内部隔离层和SiGe源/漏的替代金属栅极工艺中的垂直堆叠NanoWires MOSFET
作者:
S. Barraud
;
V. Lapras
;
M.P. Samson
;
L. Gaben
;
L. Grenouillet
;
V. Maffini-Alvaro
;
Y. Morand
;
J. Daranlot
;
N. Rambal
;
B. Previtalli
;
S. Reboh
;
C. Tabone
;
R. Coquand
;
E. Augendre
;
O. Rozeau
;
J. M. Hartmann
;
C. Vizioz
;
C. Arvet
;
P. Pimenta-Barros
;
N. Posseme
;
V. Loup
;
C. Comboroure
;
C. Euvrard
;
V. Balan
;
I. Tinti
;
G. Audoit
;
N. Bernier
;
D. Cooper
;
Z. Saghi
;
F. Allain
;
A. Toffoli
;
O. Faynot
;
M. Vinet
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Silicon;
Silicon germanium;
Strain;
Wires;
Field effect transistors;
Logic gates;
Nonhomogeneous media;
21.
A novel dual isolation scheme for stress and back-bias maximum efficiency in FDSOI Technology
机译:
FDSOI技术中一种用于应力和反向偏置最大效率的新颖双重隔离方案
作者:
R. Berthelon
;
F. Andrieu
;
P. Perreau
;
D. Cooper
;
F. Roze
;
O. Gourhant
;
P. Rivallin
;
N. Bernier
;
A. Cros
;
C. Ndiaye
;
E. Baylac
;
E. Souchier
;
D. Dutartre
;
A. Claverie
;
O. Weber
;
E. Josse
;
M. Vinet
;
M. Haond
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Oxidation;
Stress;
Silicon germanium;
Silicon-on-insulator;
MOS devices;
Strain;
Logic gates;
22.
High performance Ge junctionless gate-all-around NFETs with simultaneous Ion =1235 μA/μm at Vov=Vds=1V, SS=95 mV/dec, high Ion/Ioff=2×106, and reduced noise power density using S/D dopant recovery by selective laser annealing
机译:
高性能Ge无接面万能栅NFET,在Vov = Vds = 1V,SS = 95 mV / dec,Ion / Ioff = 2×106的同时具有Ion = 1235μA/μm的同时离子和使用S / D降低的噪声功率密度通过选择性激光退火恢复掺杂剂
作者:
I-Hsieh Wong
;
Fang-Liang Lu
;
Shih-Hsien Huang
;
Hung-Yu Ye
;
Chun-Ti Lu
;
Jhih-Yang Yan
;
Yu-Cheng Shen
;
Yu-Jiun Peng
;
Huang-Siang Lan
;
C. W. Liu
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Annealing;
Logic gates;
Lasers;
Doping;
Temperature measurement;
Tensile strain;
Gallium arsenide;
23.
A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels
机译:
7nm FinFET技术,具有EUV图案和双应变高迁移率通道
作者:
R. Xie
;
P. Montanini
;
K. Akarvardar
;
N. Tripathi
;
B. Haran
;
S. Johnson
;
T. Hook
;
B. Hamieh
;
D. Corliss
;
J. Wang
;
X. Miao
;
J. Sporre
;
J. Fronheiser
;
N. Loubet
;
M. Sung
;
S. Sieg
;
S. Mochizuki
;
C. Prindle
;
S. Seo
;
A. Greene
;
J. Shearer
;
A. Labonte
;
S. Fan
;
L. Liebmann
;
R. Chao
;
A. Arceo
;
K. Chung
;
K. Cheon
;
P. Adusumilli
;
H.P. Amanapu
;
Z. Bi
;
J. Cha
;
H.-C. Chen
;
R. Conti
;
R. Galatage
;
O. Gluschenkov
;
V. Kamineni
;
K. Kim
;
C. Lee
;
F. Lie
;
Z. Liu
;
S. Mehta
;
E. Miller
;
H. Niimi
;
C. Niu
;
C. Park
;
D. Park
;
M. Raymond
;
B. Sahu
;
M. Sankarapandian
;
S. Siddiqui
;
R. Southwick
;
L. Sun
;
C. Surisetty
;
S. Tsai
;
S. Whang
;
P. Xu
;
Y. Xu
;
C. Yeh
;
P. Zeitzoff
;
J. Zhang
;
J. Li
;
J. Demarest
;
J. Arnold
;
D. Canaperi
;
D. Dunn
;
N. Felix
;
D. Gupta
;
H. Jagannathan
;
S. Kanakasabapathy
;
W. Kleemeier
;
C. Labelle
;
M. Mottura
;
P. Oldiges
;
S. Skordas
;
T. Standaert
;
T. Yamashita
;
M. Colburn
;
M. Na
;
V. Paruchuri
;
S. Lian
;
R. Divakaruni
;
T. Gow
;
S. Lee
;
A. Knorr
;
H. Bu
;
M. Khare
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Logic gates;
Silicon;
Ultraviolet sources;
Lithography;
FinFETs;
Strain;
Very large scale integration;
24.
ALD-based confined PCM with a metallic liner toward unlimited endurance
机译:
基于ALD的密闭PCM,带有金属衬里,可提供无限的耐力
作者:
W. Kim
;
M. BrightSky
;
T. Masuda
;
N. Sosa
;
S. Kim
;
R. Bruce
;
F. Carta
;
G. Fraczak
;
H. Y. Cheng
;
A. Ray
;
Y. Zhu
;
H. L. Lung
;
K. Suu
;
C. Lam
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Phase change materials;
Programming;
Switches;
Resistance;
Electrodes;
Phase change memory;
Reliability;
25.
Extending the bounds of performance in E-mode p-channel GaN MOSHFETs
机译:
扩展E模式p沟道GaN MOSHFET的性能界限
作者:
A. Kumar
;
M. M. De Souza
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Gallium nitride;
Aluminum gallium nitride;
Wide band gap semiconductors;
MOSHFETs;
Two dimensional hole gas;
Logic gates;
Electric fields;
26.
A 1 MHz 4 ppm CMOS-MEMS oscillator with built-in self-test and sub-mW ovenization power
机译:
1 MHz 4 ppm CMOS-MEMS振荡器,具有内置自检功能和低于mW的烤箱功率
作者:
Chun-You Liu
;
Ming-Huang Li
;
H. G. Ranjith
;
Sheng-Shian Li
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Ovens;
Oscillators;
Built-in self-test;
Optical resonators;
Heating;
Temperature sensors;
27.
First demonstration of a back-side integrated heterogeneous hybrid III-V/Si DBR lasers for Si-photonics applications
机译:
首次演示用于Si光子学应用的背面集成异质混合III-V / Si DBR激光器
作者:
J. Durel
;
B. Ben Bakir
;
C. Jany
;
S. Cremer
;
B. Szelag
;
T. Bria
;
V. Larrey
;
L. Sanchez
;
P. Brianceau
;
J.-A Dallery
;
R. Guiavarch
;
T. Card
;
R. Thibon
;
J.-E. Broquin
;
F. Bœuf
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Silicon;
Waveguide lasers;
Optical waveguides;
Couplers;
Distributed Bragg reflectors;
Metals;
Laser modes;
28.
A 300mm foundry HRSOI technology with variable silicon thickness for integrated FEM applications
机译:
300mm铸造HRSOI技术,具有可变的硅厚度,适用于集成FEM应用
作者:
Rui Tze Toh
;
Shyam Parthasarathy
;
Tao Sun
;
Shaoqiang Zhang
;
Raj Verma Purakh
;
Chao Song Zhu
;
Venkata Sudheer Nune
;
Jen Shuang Wong
;
Madabusi Govindarajan
;
Yong Koo Yoo
;
Kok Wai Chew
;
Diing Shenp Ang
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Switches;
Radio frequency;
Silicon;
Performance evaluation;
Capacitance;
Harmonic analysis;
Finite element analysis;
29.
Scaling perspective for III-V broken gap nanowire TFETs: An atomistic study using a fast tight-binding mode-space NEGF model
机译:
III-V断裂间隙纳米线TFET的缩放角度:使用快速紧密结合模式空间NEGF模型的原子学研究
作者:
A. Afzalian
;
M. Passlack
;
Y-.C. Yeo
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Gallium arsenide;
TFETs;
Ions;
Logic gates;
Silicon;
MOSFET;
Degradation;
30.
Predictive As-grown-Generation (A-G) model for BTI-induced device/circuit level variations in nanoscale technology nodes
机译:
纳米技术节点中BTI引起的器件/电路级变化的预测成年生成(A-G)模型
作者:
R. Gao
;
Z. Ji
;
S. M. Hatta
;
J. F. Zhang
;
J. Franco
;
B. Kaczer
;
W. Zhang
;
M. Duan
;
S. De Gendt
;
D. Linten
;
G. Groeseneken
;
J. Bi
;
M. Liu
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Degradation;
Predictive models;
Integrated circuit modeling;
Semiconductor device modeling;
Negative bias temperature instability;
Thermal variables control;
Kinetic theory;
31.
A 14nm FinFET transistor-level 3D partitioning design to enable high-performance and low-cost monolithic 3D IC
机译:
14nm FinFET晶体管级3D分区设计可实现高性能和低成本的单片3D IC
作者:
Jiajun Shi
;
Deepak Nayak
;
Srinivasa Banna
;
Robert Fox
;
Srikanth Samavedam
;
Sandeep Samal
;
Sung Kyu Lim
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Three-dimensional displays;
Two dimensional displays;
Metals;
Capacitance;
Integrated circuits;
Couplings;
Degradation;
32.
A 1.8e− temporal noise over 90dB dynamic range 4k2k super 35mm format seamless global shutter CMOS image sensor with multiple accumulation shutter technology
机译:
具有90dB动态范围的1.8e−临时噪声4k2k超级35mm格式无缝全局快门CMOS图像传感器,具有多重累积快门技术
作者:
Kazunari Kawabata
;
Masahiro Kobayashi
;
Yusuke Onuki
;
Hiroshi Sekine
;
Toshiki Tsuboi
;
Yasushi Matsuno
;
Hidekazu Takahashi
;
Shunsuke Inoue
;
Takeshi Ichikawa
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Micromechanical devices;
Dynamic range;
Partial discharges;
CMOS image sensors;
Sensitivity;
Transistors;
Semiconductor device measurement;
33.
A 130 nm InP HBT integrated circuit technology for THz electronics
机译:
用于THz电子产品的130 nm InP HBT集成电路技术
作者:
M. Urteaga
;
J. Hacker
;
Z. Griffith
;
A. Young
;
R. Pierson
;
P. Rowell
;
M. Seo
;
M. J. W. Rodwell
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Heterojunction bipolar transistors;
Indium phosphide;
III-V semiconductor materials;
Semiconductor device measurement;
Delays;
Integrated circuit modeling;
34.
True random number generator using current difference based on a fractional stochastic model in 40-nm embedded ReRAM
机译:
基于随机分数模型的40nm嵌入式ReRAM中使用电流差的真随机数发生器
作者:
Z. Wei
;
Y. Katoh
;
S. Ogasahara
;
Y. Yoshimoto
;
K. Kawai
;
Y. Ikeda
;
K. Eriguchi
;
K. Ohmori
;
S. Yoneda
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Generators;
Stochastic processes;
NIST;
Entropy;
Gaussian distribution;
Switches;
Resistance;
35.
High performance and reliability Ge channel CMOS with a MoS2 capping layer
机译:
具有MoS2覆盖层的高性能和可靠性Ge通道CMOS
作者:
J. Li
;
S. Xie
;
Z. Zheng
;
Y. Zhang
;
R. Zhang
;
M. Xu
;
Y. Zhao
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Molybdenum;
Sulfur;
MOSFET;
MOSFET circuits;
Logic gates;
Silicon;
Charge carrier processes;
36.
A tunnel FET design for high-current, 120 mV operation
机译:
用于120 mV大电流工作的隧道FET设计
作者:
P. Long
;
J. Z. Huang
;
M. Povolotskyi
;
D. Verreck
;
J. Charles
;
T. Kubis
;
G. Klimeck
;
M. J.W. Rodwell
;
B. H. Calhoun
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
TFETs;
Ions;
Tunneling;
Scattering;
Logic gates;
Switches;
Phonons;
37.
Density functional theory simulations and experimental measurements of a-HfO2/a-Si3N4/SiGe, a-HfO2/SiO0.8N0.8/SiGe and a-HfO2/a-SiO/SiGe interfaces
机译:
a-HfO2 / a-Si3N4 / SiGe,a-HfO2 / SiO0.8N0.8 / SiGe和a-HfO2 / a-SiO / SiGe界面的密度泛函理论模拟和实验测量
作者:
E. Chagarov
;
K. Sardashti
;
M. Edmonds
;
M. Clemons
;
A. Kummel
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Silicon;
Silicon germanium;
Atomic layer deposition;
Bonding;
Passivation;
Hafnium compounds;
Substrates;
38.
A physics-based compact model for material- and operation-oriented switching behaviors of CBRAM
机译:
基于物理的紧凑模型,用于CBRAM的面向材料和操作的开关行为
作者:
Y. D. Zhao
;
J. J. Hu
;
P. Huang
;
F. Yuan
;
Y. Chai
;
X. Y. Liu
;
J. F. Kang
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Mathematical model;
Switches;
Metals;
Oxidation;
Geometry;
Electric fields;
Data models;
39.
First demonstration of a wrap-gated CNT-FET with vertically-suspended channels
机译:
首次展示了具有垂直悬浮通道的包裹式CNT-FET
作者:
Dongil Lee
;
Byung-Hyun Lee
;
Jinsu Yoon
;
Bongsik Choi
;
Jun-Young Park
;
Dae-Chul Ahn
;
Choong-Ki Kim
;
Byeong-Woon Hwang
;
Seung-Bae Jeon
;
Hyun Jun Ahn
;
Myeong-Lok Seol
;
Min-Ho Kang
;
Byung Jin Cho
;
Sung-Jin Choi
;
Yang-Kyu Choi
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Logic gates;
Ions;
Gallium arsenide;
Controllability;
Silicon;
MOSFET;
40.
A novel tensile Si (n) and compressive SiGe (p) dual-channel CMOS FinFET co-integration scheme for 5nm logic applications and beyond
机译:
一种新颖的拉伸Si(n)和压缩SiGe(p)双通道CMOS FinFET共集成方案,适用于5nm逻辑应用及更多应用
作者:
Dong-il Bae
;
Geumjong Bae
;
Krishna K Bhuwalka
;
Seung-Hun Lee
;
Myung-Geun Song
;
Taek-soo Jeon
;
Cheol Kim
;
Wookje Kim
;
Jaeyoung Park
;
Sunjung Kim
;
Uihui Kwon
;
Jongwook Jeon
;
Kab-Jin Nam
;
Sangwoo Lee
;
Sean Lian
;
Kang-ill Seo
;
Sun-Ghil Lee
;
Jae Hoo Park
;
Yeon-Cheol Heo
;
Mark S. Rodder
;
Jorge A. Kittl
;
Yihwan Kim
;
Kihyun Hwang
;
Dong-Won Kim
;
Mong-song Liang
;
E S Jung
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Silicon;
Silicon germanium;
Logic gates;
Strain;
Stress;
FinFETs;
Performance evaluation;
41.
Few-layer black phosporous PMOSFETs with BN/AI2O3 bilayer gate dielectric: Achieving Ion=850μA/μm, gm=340μS/μm, and Rc=0.58kΩ·μm
机译:
具有BN / Al2O3双层栅极电介质的几层黑色磷光PMOSFET:实现Ion =850μA/μm,gm =340μS/μm,Rc =0.58kΩ·μm
作者:
L.M. Yang
;
G. Qiu
;
M.W. Si
;
A.R. Charnas
;
C.A. Milligan
;
D. Y. Zemlyanov
;
H. Zhou
;
Y.C. Du
;
Y.M. Lin
;
W. Tsai
;
Qing Paduano
;
M. Snure
;
P. D. Ye
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Logic gates;
Dielectrics;
MOSFET;
Aluminum oxide;
Hysteresis;
MOCVD;
Ions;
42.
Integration of LPCVD-SiNx gate dielectric with recessed-gate E-mode GaN MIS-FETs: Toward high performance, high stability and long TDDB lifetime
机译:
LPCVD-SiNx栅极电介质与凹栅E型GaN MIS-FET的集成:追求高性能,高稳定性和长TDDB寿命
作者:
Mengyuan Hua
;
Zhaofu Zhang
;
Jin Wei
;
Jiacheng Lei
;
Gaofei Tang
;
Kai Fu
;
Yong Cai
;
Baoshun Zhang
;
Kevin J. Chen
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Logic gates;
Gallium nitride;
Dielectrics;
Surface treatment;
Thermal stability;
Surface morphology;
43.
Experimental verification of a 3D scaling principle for low Vce(sat) IGBT
机译:
低Vce(sat)IGBT的3D缩放原理的实验验证
作者:
K. Kakushima
;
T. Hoshii
;
K. Tsutsui
;
A. Nakajima
;
S. Nishizawa
;
H. Wakabayashi
;
I. Muneta
;
K. Sato
;
T. Matsudai
;
W. Saito
;
T. Saraya
;
K. Itou
;
M. Fukui
;
S. Suzuki
;
M. Kobayashi
;
T. Takakura
;
T. Hiramoto
;
A. Ogura
;
Y. Numasawa
;
I. Omura
;
H. Ohashi
;
H. Iwai
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Insulated gate bipolar transistors;
Logic gates;
Fabrication;
Temperature measurement;
Three-dimensional displays;
MOSFET;
Resistance;
44.
Nitridation of GaN surface for power device application: A first-principles study
机译:
用于功率器件应用的GaN表面氮化:第一性原理研究
作者:
Zhaofu Zhang
;
Baikui Li
;
Xi Tang
;
Qingkai Qian
;
Mengyuan Hua
;
Baoling Huang
;
Kevin J. Chen
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Gallium nitride;
Surface treatment;
Surface reconstruction;
Photonic band gap;
Plasmas;
Atomic layer deposition;
Photoelectricity;
45.
A numerical study of Si-TMD contact with n/p type operation and interface barrier reduction for sub-5 nm monolayer MoS2 FET
机译:
5 nm以下单层MoS2 FET的n-p型操作Si-TMD接触和界面势垒减小的数值研究
作者:
Ying-Tsan Tang
;
Kai-Shin Li
;
Lain-Jong Li
;
Ming-Yang Li
;
Chang-Hsien Lin
;
Yi-Ju Chen
;
Chun-Chi Chen
;
Chuan-Jung Su
;
Bo-Wei Wu
;
Cheng-San Wu
;
Min-Cheng Chen
;
Jia-Min Shieh
;
Wen-Kuan Yeh
;
Po-Cheng Su
;
Tahui Wang
;
Fu-Liang Yang
;
Chenming Hu
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Silicon;
Sulfur;
Molybdenum;
Field effect transistors;
Doping;
Contact resistance;
Schottky barriers;
46.
A 7nm CMOS platform technology featuring 4th generation FinFET transistors with a 0.027um2 high density 6-T SRAM cell for mobile SoC applications
机译:
7nm CMOS平台技术,具有第四代FinFET晶体管和0.027um2高密度6-T SRAM单元,适用于移动SoC应用
作者:
Shien-Yang Wu
;
C.Y. Lin
;
M.C. Chiang
;
J.J. Liaw
;
J.Y. Cheng
;
S.H. Yang
;
C.H. Tsai
;
P.N. Chen
;
T. Miyashita
;
C.H. Chang
;
V.S. Chang
;
K.H. Pan
;
J.H. Chen
;
Y.S. Mor
;
K.T. Lai
;
C.S. Liang
;
H.F. Chen
;
S.Y. Chang
;
C.J. Lin
;
C.H. Hsieh
;
R.F. Tsui
;
C.H. Yao
;
C.C. Chen
;
R. Chen
;
C.H. Lee
;
H.J. Lin
;
C.W. Chang
;
K.W. Chen
;
M.H. Tsai
;
K.S. Chen
;
Y. Ku
;
S. M. Jang
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Metals;
Resistance;
FinFETs;
SRAM cells;
Logic gates;
47.
A modified Schottky model for graphene-semiconductor (3D/2D) contact: A combined theoretical and experimental study
机译:
石墨烯-半导体(3D / 2D)接触的改进肖特基模型:理论与实验相结合的研究
作者:
Shi-Jun Liang
;
Wei Hu
;
A. Di Bartolomeo
;
Shaffique Adam
;
Lay Kee Ang
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Graphene;
Mathematical model;
Schottky barriers;
Junctions;
Schottky diodes;
Nonhomogeneous media;
Semiconductor device modeling;
48.
Reliability study of a 128Mb phase change memory chip implemented with doped Ga-Sb-Ge with extraordinary thermal stability
机译:
掺杂Ga-Sb-Ge的128Mb相变存储芯片的可靠性研究,具有出色的热稳定性
作者:
W. C. Chien
;
H. Y. Cheng
;
M. BrightSky
;
A. Ray
;
C. W. Yeh
;
W. Kim
;
R. Bruce
;
Y. Zhu
;
H. Y. Ho
;
H. L. Lung
;
C. Lam
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Thermal stability;
Phase change materials;
Doping;
Resistance;
Phase change memory;
Stability criteria;
49.
Microsecond transient thermal behavior of HfOx-based resistive random access memory using a micro thermal stage (MTS)
机译:
使用微热级(MTS)的基于HfOx的电阻型随机存取存储器的微秒瞬态热行为
作者:
Zizhen Jiang
;
Ziwen Wang
;
Xin Zheng
;
Scott Fong
;
Shengjun Qin
;
Hong-Yu Chen
;
Chiyui Ahn
;
Ji Cao
;
Yoshio Nishi
;
H.-S. Philip Wong
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Heating;
Temperature measurement;
Ions;
Hafnium compounds;
Transient analysis;
Thermal resistance;
50.
A fundamental AC TDDB study of BEOL ELK in advanced technology
机译:
BEOL ELK在先进技术中的基础AC TDDB研究
作者:
M. N. Chang
;
Y.-H. Lee
;
S. Y. Lee
;
Y. S. Huang
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Stress;
Ions;
Dielectrics;
Capacitance;
Time-frequency analysis;
Leakage currents;
Charge carrier processes;
51.
A CMOS-compatible large-scale monolithic integration of heterogeneous multi-sensors on flexible silicon for IoT applications
机译:
用于物联网应用的柔性硅上异构多传感器的CMOS兼容大规模单片集成
作者:
Joanna M. Nassar
;
Galo A. Torres Sevilla
;
Seneca J. Velling
;
Marlon D. Cordero
;
Muhammad Mustafa Hussain
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
sensor fusion;
CMOS integrated circuits;
flexible electronics;
health care;
Internet of Things;
monolithic integrated circuits;
52.
An active artificial iris controlled by a 25-μW flexible thin-film driver
机译:
由25μW柔性薄膜驱动器控制的有源人工虹膜
作者:
Florian De Roose
;
Soeren Steudel
;
Kris Myny
;
Myriam Willegems
;
Steve Smout
;
Marc Ameys
;
Pawel E. Malinowski
;
Robert Gehlhaar
;
Radhika Poduval
;
Xinyu Chen
;
Jelle De Smet
;
Andrés Vásquez Quintero
;
Herbert De Smet
;
W. Dehaene
;
Jan Genoe
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Iris;
Liquid crystal displays;
Power demand;
Lenses;
Photovoltaic systems;
Capacitors;
53.
Identify the critical regions and switching/failure mechanisms in non-filamentary RRAM (a-VMCO) by RTN and CVS techniques for memory window improvement
机译:
通过RTN和CVS技术识别非丝状RRAM(a-VMCO)中的关键区域和切换/故障机制,以改善内存窗口
作者:
J. Ma
;
Z. Chai
;
W. Zhang
;
B. Govoreanu
;
J. F. Zhang
;
Z. Ji
;
B. Benbakhti
;
G. Groeseneken
;
M. Jurczak
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Switches;
Modulation;
Stress;
Failure analysis;
Electric breakdown;
Resistance;
Very large scale integration;
54.
A new ruler on the storage market: 3D-NAND flash for high-density memory and its technology evolutions and challenges on the future
机译:
存储市场的新标尺:用于高密度存储器的3D-NAND闪存及其技术演进和未来挑战
作者:
Jaeduk Lee
;
Jaehoon Jang
;
Junhee Lim
;
Yu Gyun Shin
;
Kyupil Lee
;
Eunseung Jung
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Computer architecture;
Microprocessors;
Programming;
Junctions;
Interference;
Transistors;
Doping;
55.
Polycrystalline-silicon channel trap induced transient read instability in a 3D NAND flash cell string
机译:
多晶硅通道陷阱导致3D NAND闪存单元串中的瞬态读取不稳定性
作者:
Wen-Jer Tsai
;
W. L. Lin
;
C. C. Cheng
;
S. H. Ku
;
Y. L. Chou
;
Lenvis Liu
;
S. W. Hwang
;
T. C. Lu
;
K. C. Chen
;
Tahui Wang
;
Chih-Yuan Lu
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Transient analysis;
Sensors;
Charge carrier processes;
History;
Logic gates;
Three-dimensional displays;
Flash memories;
56.
Technology scaling challenges and opportunities of memory devices
机译:
技术扩展的挑战和存储设备的机遇
作者:
Seok-Hee Lee
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Three-dimensional displays;
Performance evaluation;
Computer architecture;
Transistors;
Microprocessors;
Phase change random access memory;
57.
Reliability characterization of 10nm FinFET technology with multi-VT gate stack for low power and high performance
机译:
具有多VT栅极堆叠的10nm FinFET技术的可靠性表征,可实现低功耗和高性能
作者:
Minjung Jin
;
Changze Liu
;
Jinju Kim
;
Jungin Kim
;
Hyewon Shim
;
Kangjung Kim
;
Gunrae Kim
;
Soonyoung Lee
;
Taiki Uemura
;
Man Chang
;
Taehyun An
;
Junekyun Park
;
Sangwoo Pae
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Stress;
Human computer interaction;
Logic gates;
Integrated circuit reliability;
FinFETs;
58.
Consideration of BTI variability and product level reliability to expedite advanced FinFET process development
机译:
考虑BTI的可变性和产品级别的可靠性,以加快先进的FinFET工艺开发
作者:
Y.-H. Lee
;
J H. Lee
;
Y.F. Wang
;
R. Hsieh
;
Y.S. Tsai
;
K. Huang
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Stress;
Negative bias temperature instability;
Thermal variables control;
Random access memory;
FinFETs;
Degradation;
Aging;
59.
InP/GaAsSb DHBTs for THz applications and improved extraction of their cutoff frequencies
机译:
用于太赫兹应用的InP / GaAsSb DHBT及其改进的截止频率提取
作者:
C. R. Bolognesi
;
R. Flückiger
;
M. Alexandrova
;
W. Quan
;
R. Lövblom
;
O. Ostinelli
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Double heterojunction bipolar transistors;
Indium phosphide;
III-V semiconductor materials;
Junctions;
Standards;
Cutoff frequency;
60.
On-chip terahertz electronics: From device-electromagnetic integration to energy-efficient, large-scale microsystems
机译:
片上太赫兹电子产品:从设备电磁集成到高效节能的大型微型系统
作者:
R. Han
;
J. W. Holloway
;
C. Jiang
;
A. Mostajeran
;
E. Afshari
;
A. Cathelin
;
Y. Zhang
;
K. K. O
;
L. Boglione
;
T. M. Hancock
;
C. Wang
;
Z. Hu
;
G. Zhang
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Power generation;
Logic gates;
Harmonic analysis;
Oscillators;
Silicon;
Transistors;
61.
Understanding RRAM endurance, retention and window margin trade-off using experimental results and simulations
机译:
使用实验结果和模拟了解RRAM的耐久性,保留性和窗口余量的权衡
作者:
C. Nail
;
G. Molas
;
P. Blaise
;
G. Piccolboni
;
B. Sklenard
;
C. Cagli
;
M. Bernard
;
A. Roule
;
M. Azzaz
;
E. Vianello
;
C. Carabasse
;
R. Berthier
;
D. Cooper
;
C. Pelissier
;
T. Magis
;
G. Ghibaudo
;
C. Vallée
;
D. Bedeau
;
O. Mosendz
;
B. De Salvo
;
L. Perniola
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Hafnium compounds;
Aluminum oxide;
Switches;
Integrated circuits;
Feature extraction;
Electrodes;
Market research;
62.
Embedded flash technology for automotive applications
机译:
面向汽车应用的嵌入式闪存技术
作者:
Tadaaki Yamauchi
;
Yasuo Yamaguchi
;
Takashi Kono
;
Hideto Hidaka
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Automotive engineering;
Nonvolatile memory;
Logic gates;
Transistors;
Robustness;
MONOS devices;
63.
InP HEMT integrated circuits operating above 1,000 GHz
机译:
在1,000 GHz以上工作的InP HEMT集成电路
作者:
W. R. Deal
;
K. Leong
;
W. Yoshida
;
A. Zamora
;
X. B. Mei
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Integrated circuits;
Indium phosphide;
III-V semiconductor materials;
Frequency measurement;
HEMTs;
Transmission line measurements;
64.
Engineering the electronic defect bands at the Si1−xGex/IL interface: Approaching the intrinsic carrier transport in compressively-strained Si1−xGex pFETs
机译:
在Si1-xGex / IL接口处设计电子缺陷带:在压缩应变的Si1-xGex pFET中处理本征载流子传输
作者:
ChoongHyun Lee
;
Richard G. Southwick
;
Hemanth Jagannathan
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Scattering;
Silicon;
Logic gates;
Phonons;
Surface treatment;
Silicon germanium;
Metals;
65.
Acceptor-like trap effect on negative-bias temperature instability (NBTI) of SiGe pMOSFETs on SRB
机译:
类受体陷阱对SRB上SiGe pMOSFET的负偏置温度不稳定性(NBTI)的影响
作者:
Guangfan Jiao
;
Maria Toledano-Luque
;
Kab-Jin Nam
;
Nakanishi Toshiro
;
Seung-Hun Lee
;
Jin-Soak Kim
;
Thomas Kauerauf
;
EunAe Chung
;
Dong-il Bae
;
Geumjong Bae
;
Dong-Won Kim
;
Kihyun Hwang
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Silicon germanium;
Negative bias temperature instability;
Thermal variables control;
Degradation;
Silicon;
Stress;
Reliability;
66.
Wide bandgap (WBG) power devices and their impacts on power delivery systems
机译:
宽带隙(WBG)功率设备及其对功率传输系统的影响
作者:
Alex Q. Huang
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Silicon carbide;
Gallium nitride;
Silicon;
MOSFET;
Medium voltage;
HEMTs;
MODFETs;
67.
Hot carrier effect in ultra-scaled replacement metal gate Sii-xGex channel p-FinFETs
机译:
超大规模替代金属栅极Sii-xGex通道p-FinFET中的热载流子效应
作者:
Miaomiao Wang
;
Xin Miao
;
James H Stathis
;
Richard Southwick
;
Barry P. Linder
;
Derrick Liu
;
Ruqiang Bao
;
Koji Watanabe
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Stress;
Charge carrier processes;
Silicon germanium;
Degradation;
Human computer interaction;
Logic gates;
Silicon;
68.
FPGA design and system optimizations with new technologies
机译:
利用新技术进行FPGA设计和系统优化
作者:
N. Chong
;
J. Jing
;
H. Liu
;
G. Refai-Ahmed
;
S. Wu
;
X. Wu
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Field programmable gate arrays;
FinFETs;
Metals;
Inductors;
Testing;
Wires;
Standards;
69.
Novel voltage controlled MRAM (VCM) with fast read/write circuits for ultra large last level cache
机译:
具有快速读/写电路的新型压控MRAM(VCM),用于超大型末级高速缓存
作者:
Hiroki Noguchi
;
Kazutaka Ikegami
;
Keiko Abe
;
Shinobu Fujita
;
Yoichi Shiota
;
Takayuki Nozaki
;
Shinji Yuasa
;
Yoshishige Suzuki
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Resistance;
Delays;
Electric potential;
Voltage control;
Error analysis;
Switches;
Thermal stability;
70.
Circuits and systems for energy efficient smart wearables
机译:
节能智能穿戴设备的电路和系统
作者:
Ajit Sharma
;
Tarkesh Pande
;
Praveen Aroul
;
Karthik Soundarapandian
;
Wai Lee
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Biomedical monitoring;
Monitoring;
Optical sensors;
Heart rate;
Biomedical optical imaging;
Ecosystems;
71.
Devices and circuits in CMOS for THz applications
机译:
用于THz应用的CMOS器件和电路
作者:
Z. Ahmad
;
W. Choi
;
N. Sharma
;
J. Zhang
;
Q. Zhong
;
D.-Y. Kim
;
Z. Chen
;
Y. Zhang
;
R. Han
;
D. Shim
;
S. Sankaran
;
E.-Y. Seok
;
C. Cao
;
C. Mao
;
R. M. Schueler
;
I. R. Medvedev
;
D. J. Lary
;
H.-J. Nam
;
P. Raskin
;
F. C. DeLucia
;
J. P. McMillan
;
C. F. Neese
;
I. Kim
;
I. Momson
;
P. Yellswarapu
;
S. Dong
;
B.-K. Kim
;
K. K. O
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Detectors;
Schottky diodes;
Harmonic analysis;
MOSFET;
Frequency conversion;
Power generation;
Varactors;
72.
Si, SiC and GaN power devices: An unbiased view on key performance indicators
机译:
Si,SiC和GaN功率器件:关键性能指标的公正看法
作者:
G. Deboy
;
M. Treu
;
O. Haeberlen
;
D. Neumayr
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Gallium nitride;
Capacitance;
Silicon carbide;
HEMTs;
MODFETs;
Switches;
Silicon;
73.
Resonant-tunneling-diode terahertz oscillators and applications
机译:
谐振隧道太赫兹振荡器及其应用
作者:
Masahiro Asada
;
Safumi Suzuki
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Oscillators;
Resonant tunneling devices;
Resonant frequency;
Frequency modulation;
Power generation;
Antenna arrays;
74.
New understanding of dielectric breakdown in advanced FinFET devices — physical, electrical, statistical and multiphysics study
机译:
对高级FinFET器件中的介电击穿的新认识-物理,电气,统计和多物理场研究
作者:
S. Mei
;
N. Raghavan
;
M. Bosman
;
D. Linten
;
G. Groeseneken
;
N. Horiguchi
;
K. L. Pey
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
FinFETs;
Silicon;
Stress;
Dielectric breakdown;
Epitaxial growth;
Substrates;
75.
Record high mobility (428cm2/V-s) of CVD-grown Ge/strained Ge0.91Sn0.09/Ge quantum well p-MOSFETs
机译:
CVD生长的Ge /应变Ge0.91Sn0.09 / Ge量子阱p-MOSFET的高迁移率(428cm2 / V-s)达到创纪录的水平
作者:
Yu-Shiang Huang
;
Chih-Hsiung Huang
;
Fang-Liang Lu
;
Chung-Yi Lin
;
Hung-Yu Ye
;
I-Hsieh Wong
;
Sun-Rong Jan
;
Huang-Siang Lan
;
C. W. Liu
;
Yi-Chiau Huang
;
Hua Chung
;
Chorng-Ping Chang
;
Schubert S. Chu
;
Satheesh Kuppurao
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
MOSFET circuits;
Logic gates;
Scattering;
Strain;
Effective mass;
MOSFET;
Silicon;
76.
Self-heating in FinFET and GAA-NW using Si, Ge and III/V channels
机译:
使用Si,Ge和III / V通道在FinFET和GAA-NW中进行自热
作者:
E. Bury
;
B. Kaczer
;
D. Linten
;
L. Witters
;
H. Mertens
;
N. Waldron
;
X. Zhou
;
N. Collaert
;
N. Horiguchi
;
A. Spessot
;
G. Groeseneken
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Logic gates;
Silicon;
Resistance;
Resistance heating;
Indium gallium arsenide;
Metals;
Indium phosphide;
77.
Experimental study on polarization-limited operation speed of negative capacitance FET with ferroelectric HfO2
机译:
铁电HfO2负电容FET的极化极限工作速度的实验研究
作者:
Masaharu Kobayashi
;
Nozomu Ueyama
;
Kyungmin Jang
;
Toshiro Hiramoto
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Capacitors;
Hafnium compounds;
Capacitance;
Transient analysis;
Voltage measurement;
Mathematical model;
Current measurement;
78.
Tunneling MOSFET technologies using III-V/Ge materials
机译:
使用III-V / Ge材料的隧道MOSFET技术
作者:
S. Takagi
;
D. H. Ahn
;
M. Noguchi
;
T. Gotow
;
K. Nishi
;
M. Kim
;
M. Takenaka
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
TFETs;
Junctions;
Zinc;
Tunneling;
Indium gallium arsenide;
Logic gates;
Ions;
79.
Graphene-gate transistors for gas sensing and threshold control
机译:
石墨烯栅极晶体管,用于气体感应和阈值控制
作者:
N. Harada
;
K. Hayashi
;
M. Kataoka
;
J. Yamaguchi
;
M. Ohtomo
;
M. Ohfuchi
;
I. Soga
;
D. Kondo
;
T. Iwai
;
S. Sato
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Graphene;
Transistors;
Logic gates;
Doping;
Gas detectors;
Substrates;
80.
Performance benchmarking of p-type In0.65Ga0.35As/GaAs0.4Sb0.6 and Ge/Ge0.93Sn0.07 hetero-junction tunnel FETs
机译:
p型In0.65Ga0.35As / GaAs0.4Sb0.6和Ge / Ge0.93Sn0.07异质结隧道FET的性能基准测试
作者:
R. Pandey
;
C. Schulte-Braucks
;
R. N. Sajjad
;
M. Barth
;
R. K. Ghosh
;
B. Grisafe
;
P. Sharma
;
N. von den Driesch
;
A. Vohra
;
B. Rayner
;
R. Loo
;
S. Mantl
;
D. Buca
;
C-C. Yeh
;
C-H. Wu
;
W. Tsai
;
D. Antoniadis
;
S. Datta
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Logic gates;
Substrates;
Temperature dependence;
Ions;
Hafnium compounds;
Temperature measurement;
Photonic band gap;
81.
Processing and characterization of Si/Ge quantum dots
机译:
Si / Ge量子点的处理与表征
作者:
S. Miyazaki
;
K. Makihara
;
A. Ohta
;
M. Ikeda
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
silicon compounds;
chemical vapour deposition;
germanium;
semiconductor quantum dots;
silicon;
82.
High-Q magnetic inductors for high efficiency on-chip power conversion
机译:
高Q磁感应器可实现高效的片上功率转换
作者:
Naigang Wang
;
Bruce B. Doris
;
Andrea Bahgat Shehata
;
Eugene J. O Sullivan
;
Stephen L. Brown
;
Stephen Rossnagel
;
John Ott
;
Lynne Gignac
;
Maryam Massouras
;
Lubomyr T. Romankiw
;
Hariklia Lili Deligianni
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Magnetomechanical effects;
Perpendicular magnetic anisotropy;
Amorphous magnetic materials;
Inductors;
Magnetic resonance imaging;
Magnetic hysteresis;
83.
4Gbit density STT-MRAM using perpendicular MTJ realized with compact cell structure
机译:
采用紧凑型单元结构的采用垂直MTJ的4Gbit密度STT-MRAM
作者:
S.-W. Chung
;
T. Kishi
;
J. W. Park
;
M. Yoshikawa
;
K. S. Park
;
T. Nagase
;
K. Sunouchi
;
H. Kanaya
;
G. C. Kim
;
K. Noma
;
M. S. Lee
;
A. Yamamoto
;
K. M. Rho
;
K. Tsuchida
;
S. J. Chung
;
J. Y. Yi
;
H. S. Kim
;
Y.S. Chun
;
H. Oyamatsu
;
S. J. Hong
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Magnetic tunneling;
Resistance;
Tunneling magnetoresistance;
Switches;
Computer architecture;
Microprocessors;
Transistors;
84.
Carbon nanotube complementary logic with low-temperature processed end-bonded metal contacts
机译:
碳纳米管互补逻辑,具有经过低温处理的端键合金属触点
作者:
Jianshi Tang
;
Qing Cao
;
Damon B. Farmer
;
George Tulevski
;
Shu-Jen Han
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Nickel;
Annealing;
Transistors;
Carbon nanotubes;
Doping;
Inverters;
85.
Extreme scaling enabled by 5 tracks cells: Holistic design-device co-optimization for FinFETs and lateral nanowires
机译:
由5条轨道单元实现的极端缩放:针对FinFET和横向纳米线的整体设计-设备共同优化
作者:
M. Garcia Bardon
;
Y. Sherazi
;
P. Schuddinck
;
D. Jang
;
D. Yakimets
;
P. Debacker
;
R. Baert
;
H. Mertens
;
M. Badaroglu
;
A. Mocuta
;
N. Horiguchi
;
D. Mocuta
;
P. Raghavan
;
J. Ryckaert
;
A. Spessot
;
D. Verkest
;
A. Steegen
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Capacitance;
Logic gates;
Wires;
Layout;
FinFETs;
Standards;
Doping;
86.
Impact of La2O3/InGaAs MOS interface on InGaAs MOSFET performance and its application to InGaAs negative capacitance FET
机译:
La2O3 / InGaAs MOS接口对InGaAs MOSFET性能的影响及其在InGaAs负电容FET中的应用
作者:
C.-Y. Chang
;
K. Endo
;
K. Kato
;
C. Yokoyama
;
M. Takenaka
;
S. Takagi
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
MOSFET;
Indium gallium arsenide;
Hafnium compounds;
Films;
Charge carrier processes;
Logic gates;
Hysteresis;
87.
First-principles simulations of 2-D semiconductor devices: Mobility, I-V characteristics, and contact resistance
机译:
二维半导体器件的第一性原理模拟:迁移率,IV特性和接触电阻
作者:
M. Luisier
;
A. Szabo
;
C. Stieger
;
C. Klinkert
;
S. Brück
;
A. Jain
;
L. Novotny
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Molybdenum;
Sulfur;
Metals;
Crystals;
Transistors;
Phosphorus;
Charge carrier processes;
88.
Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bonding
机译:
具有先进的Cu2Cu混合键合的新型堆叠式CMOS图像传感器
作者:
Y. Kagawa
;
N. Fujii
;
K. Aoyagi
;
Y. Kobayashi
;
S. Nishi
;
N. Todaka
;
S. Takeshita
;
J. Taura
;
H. Takahashi
;
Y. Nishimura
;
K. Tatani
;
M. Kawamura
;
H. Nakayama
;
T. Nagano
;
K. Ohno
;
H. Iwamoto
;
S. Kadomura
;
T. Hirayama
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Bonding;
Annealing;
CMOS image sensors;
Through-silicon vias;
Semiconductor device reliability;
Three-dimensional displays;
89.
Horizon beyond ideal power devices
机译:
超越理想功率设备的视野
作者:
Hiromichi OhiCihi
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Power generation;
Silicon carbide;
Insulated gate bipolar transistors;
Silicon;
Productivity;
Reliability;
90.
Physics of electronic transport in two-dimensional materials for future FETs
机译:
用于未来FET的二维材料中电子传输的物理
作者:
M. V. Fischetti
;
W. G. Vandehberghe
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Graphene;
Two dimensional displays;
Crystals;
Phonons;
Field effect transistors;
Dispersion;
Couplings;
91.
An over 1Mfps global shutter CMOS image sensor with 480 frame storage using vertical analog memory integration
机译:
使用垂直模拟存储器集成的具有480帧存储的1Mfps以上全局快门CMOS图像传感器
作者:
M. Suzuki
;
M. Suzuki
;
R. Kuroda
;
Y. Kumagai
;
A. Chiba
;
N. Miura
;
N. Kuriyama
;
S. Sugawa
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Analog memory;
Capacitors;
CMOS image sensors;
Capacitance;
Sensor arrays;
Semiconductor device measurement;
92.
Insight into PBTI in InGaAs nanowire FETs with Al2O3 and LaAlO3 gate dielectrics
机译:
洞察具有Al2O3和LaAlO3栅极电介质的InGaAs纳米线FET中的PBTI
作者:
Y. Li
;
S. Y. Di
;
H. Jiang
;
P. Huang
;
Y. J. Wang
;
Z. Y. Lun
;
L. Shen
;
L. X. Yin
;
X. Zhang
;
G. Du
;
X. Y. Liu
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Logic gates;
Stress;
Aluminum oxide;
Dielectrics;
Field effect transistors;
Indium gallium arsenide;
Three-dimensional displays;
93.
Current status and challenges of the modeling of organic photodiodes and solar cells
机译:
有机光电二极管和太阳能电池建模的现状与挑战
作者:
R. Clerc
;
B. Bouthinon
;
M. Mohankumar
;
P. Rannou
;
J. Vaillant
;
T. Maindron
;
B. Racine
;
Y-F Chen
;
L. Hirsch
;
J. M. Verilhac
;
A. Pereira
;
A. Revaux
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Photovoltaic cells;
Numerical models;
Photodiodes;
Mathematical model;
Semiconductor process modeling;
Plastics;
Organic semiconductors;
94.
Experimental demonstration of short and long term synaptic plasticity using OxRAM multi k-bit arrays for reliable detection in highly noisy input data
机译:
使用OxRAM多k位阵列进行短期和长期突触可塑性的实验演示,可在高噪声输入数据中进行可靠检测
作者:
T. Werner
;
E. Vianello
;
O. Bichler
;
A. Grossi
;
E. Nowak
;
J.-F. Nodin
;
B. Yvert
;
B. DeSalvo
;
L. Perniola
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Noise measurement;
Neurons;
Programming;
Electrodes;
Switches;
Biological neural networks;
95.
Study of RF-circuit linearity performance of GaN HEMT technology using the MVSG compact device model
机译:
使用MVSG紧凑型器件模型研究GaN HEMT技术的射频电路线性性能
作者:
Ujwal Radhakrishna
;
Pilsoon Choi
;
Jesús Grajal
;
Li-Shiuan Peh
;
Tomás Palacios
;
Dimitri Antoniadis
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Integrated circuit modeling;
Linearity;
Harmonic analysis;
Gallium nitride;
Mathematical model;
Load modeling;
Pins;
96.
New approach for understanding “random device physics” from channel percolation perspectives: Statistical simulations, key factors and experimental results
机译:
从信道渗透的角度理解“随机设备物理”的新方法:统计模拟,关键因素和实验结果
作者:
Zhe Zhang
;
Zexuan Zhang
;
Runsheng Wang
;
Xiaobo Jiang
;
Shaofeng Guo
;
Yangyuan Wang
;
Xingsheng Wang
;
Binjie Cheng
;
Asen Asenov
;
Ru Huang
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
FinFETs;
Current density;
Fluctuations;
Distortion;
Physics;
Three-dimensional displays;
97.
New perspectives for multicore architectures using advanced technologies
机译:
使用先进技术的多核架构的新观点
作者:
F. Clermidy
;
P. Vivet
;
D. Dutoit
;
Y. Thonnart
;
J. L. Gonzales
;
J. P Noël
;
B. Giraud
;
A. Lévisse
;
O. Billoint
;
S. Thuriès
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Multicore processing;
Three-dimensional displays;
Nonvolatile memory;
Field programmable gate arrays;
Photonics;
Power demand;
98.
Understanding cycling endurance in perpendicular spin-transfer torque (p-STT) magnetic memory
机译:
了解垂直自旋传递扭矩(p-STT)磁存储器中的循环耐久性
作者:
R. Carboni
;
S. Ambrogio
;
W. Chen
;
M. Siddik
;
J. Harms
;
A. Lyle
;
W. Kula
;
G. Sandhu
;
D. Ielmini
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Electric breakdown;
Switches;
Voltage measurement;
Random access memory;
Magnetic tunneling;
Delays;
Resistance;
99.
Vertical channel devices enabled by through silicon via (TSV) technologies
机译:
通过硅通孔(TSV)技术实现的垂直通道设备
作者:
C. Kothandaraman
;
S. Rosenblatt
;
J. Safran
;
P. Oldiges
;
P. Kulkarni-Kerber
;
J. Xumalo
;
W. Landers
;
J. Liu
;
J. A. Oakley
;
S. Butt
;
T. L. Graves-Abe
;
N. Robson
;
M. G. Farooq
;
D. Berger
;
S. S. Iyer
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Through-silicon vias;
Silicon;
Field effect transistors;
Logic gates;
Dielectrics;
Capacitance;
Three-dimensional displays;
100.
High-detectivity printed organic photodiodes for large area flexible imagers
机译:
适用于大面积柔性成像仪的高探测性印刷有机光电二极管
作者:
Adrien Pierre
;
Ana Claudia Arias
会议名称:
《IEEE International Electron Devices Meeting》
|
2016年
关键词:
Dark current;
Photodiodes;
Printing;
Blades;
Manganese;
Fabrication;
Cathodes;
意见反馈
回到顶部
回到首页