首页> 中国专利> 知晓光学邻近校正的集成电路设计优化

知晓光学邻近校正的集成电路设计优化

摘要

实施了一种用于在布置和布线之后修改布局文件的EDA方法。该方法包括在用于电路的实施的设计库中存储用于单元的形状修改的库。形状修改的库包括形状修改的特定于工艺的校准的结果,该结果指示由对单元应用形状修改所引起的电路参数的调整。布局文件被分析以识别用于电路参数的调整的单元。为实现期望的调整而被校准的形状修改被从库中选出。形状修改被应用于在布局文件中所识别出的单元以产生修改后的布局文件。修改后的布局文件能被用于流片,并且接着被用于制造改进的集成电路。

著录项

  • 公开/公告号CN102652316A

    专利类型发明专利

  • 公开/公告日2012-08-29

    原文格式PDF

  • 申请/专利权人 新思科技有限公司;

    申请/专利号CN201080056094.4

  • 发明设计人 陈强;S·蒂鲁玛拉;

    申请日2010-12-08

  • 分类号G06F17/50;

  • 代理机构北京市金杜律师事务所;

  • 代理人王茂华

  • 地址 美国加利福尼亚州

  • 入库时间 2023-12-18 08:10:40

法律信息

  • 法律状态公告日

    法律状态信息

    法律状态

  • 2015-04-22

    授权

    授权

  • 2012-10-17

    实质审查的生效 IPC(主分类):G06F17/50 申请日:20101208

    实质审查的生效

  • 2012-08-29

    公开

    公开

说明书

技术领域

本发明涉及电子设计自动化(EDA),以及涉及实施集成电路 设计的元件的布局改变以进行性能优化。

背景技术

集成电路设计由电子设计自动化所支持。一种EDA支持的设计 的方法是基于定义一种使用计算机系统作为电路元件的网表 (netlist)的集成电路。并且,提供单元库,其包括能被选择用于网 表中的电路元件的物理实现的多个单元。单元库具有电路元件的有 限数量的选择,因为库中的每一个单元针对可生产性和其他因素而 预限定资格。为了实现网表,单元被从库中选出、放置在布局空间 中,并且互连被定义于单元之间。单元的选择、单元的放置以及单 元之间的定义的互连可以被称为布置和布线。其结果是布局文件, 该布局文件指定单元的元件以及单元的互连的形状和位置,该单元 将在工厂被制造到集成电路中。

已经显示,微小的布局改变,诸如晶体管栅极长度增加,能被 用于优化集成电路的性能、漏泄功率等(参见Clark等人,“Managing  Standby and Active Mode Leakage Power in Deep Sub-micron Design,” In Proc.ISLPED(Newport,CA,Aug.9-11,2004),274-279)。

在单元库中提供足够的选择以提供用于这些目的的微小布局改 变是不现实的。并且,使用这些微小布局改变所实现的优化通常仅 在布置和布线之后的布局文件的分析基础上才可测量。然而,用于 实现电路的现代亚波长制造技术经历显著的光学邻近效应,针对该 效应的校正是绝对必要的。因此,为了由布置和布线之后的分析所 指定的布局改变如所期望的在集成电路上实现,电路设计者们经常 需要取得OPC配方。参见Gupta等人的第7,441,211号美国专利。

另外,存在能基于布局文件的分析被应用以优化性能的宽范围 的形状修改。例如,沿着晶体管的宽度,驱动电流和待机漏泄电流 分布显著变化。(参见E.Augendre等人,“Controlling STI-related  parasitic conduction in 90nm CMOS and below,”ESSDERC,2002) 因此,作出例如实现显著的漏泄降低同时仅轻微地牺牲驱动电流的 不均匀的栅极形状改变是可能的。然而,SPICE电路模拟器仅接受 矩形的栅极形状以调用相应的设备模型。不均匀的形状改变再一次 将需要OPC配方中显著的定制改变。

需要取得OPC配方导致在该技术中对创新的实际阻碍,因为 OPC配方是特定于工厂工艺的,并通常被工厂保护为机密信息。为 允许取得私有OPC配方将是必要的工厂和设计者之间的交互使得这 些类型的微小布局改变不切实际。这阻止了IC优化实现这些微小布 局修改的全部的益处,诸如降低漏泄功率。

因而,在用于实现实施微小布局改变以进行设计优化的实际 EDA工具的实现中仍然存在问题。

发明内容

提供知晓光学邻近效应和特定于工厂工艺的OPC技术的技术- 设计接口用于实施微小布局改变以进行布置和布线之后的电路优 化。使用将用于制造的OPC配方,可以通过定义关键布局修改参数 并在所感兴趣的电路参数之上执行布局修改的特定于技术、与设计 无关的校准,而建立这样的接口。在布置和布线之后,EDA方法被 实施用于修改指定包括多个单元的集成电路设计的布局文件,从而 使得布局文件指定单元的元件的形状、多个单元的布置以及单元之 间的互连。该方法包括存储用于电路实施的设计库中的至少一些单 元的形状修改的库。该形状修改的库包括形状修改的特定于工艺的 校准的结果,其指示由对单元应用形状修改所引起的电路参数的调 整。一旦该库被建立,设计过程被执行,在该过程中布局文件被分 析以识别用于电路参数的调整的单元。经过校准以实现所期望的调 整的形状修改被从库中选出。形状修改被应用于布局文件中所识别 的单元以产生修改的布局文件,修改的布局文件可以被用于流片 (tape-out),并接着被用于制造改进的集成电路。

可以通过为设计库中的适合于微小布局变化的单元指定一组形 状修改以改进电路性能,从而产生形状修改的库。对该组形状修改 应用光学邻近校正以产生单元的校正的布局。校正的布局被分析以 确定该组形状修改对电路参数的影响。该组形状修改基于校准结果 而被索引并被存入适合用作库的数据结构,诸如查找表或数据库。 所用的OPC配方是特定于工厂工艺的并且可以可靠地预测形状修改 对单元的物理实施的影响。然而,可以通过在库生成期间大大提前 使用私有OPC配方而执行OPC工艺,并且无需使得电路设计者可得 到该OPC工艺。相反,电路设计者依赖于对于所期望的电路参数具 有已知的影响的符合设计规则、知晓OPC的修改的库。

使用EDA分析工具,可以为了所期望的电路参数的调整而在布 局中识别单元。例如,可以为了提取寄生电容和电阻而处理布局文 件。这些寄生可以连同设计库中的定时特性一起被利用以执行定时 分析并在该设计中识别具有定时松弛的电路路径。如由考虑所提取 的寄生的分析所确定的沿着具有可用定时松弛的电路路径的单元, 可以为了诸如漏泄电流降低的电路参数的修改而被选出。可以以确 保变化之后电路路径上的定时松弛保持为正的方式选出特定形状修 改。形状修改被约束为落入布局中的单元的边界并且遵守单元的元 件之间的设计规则间距。这样,对识别的单元应用来自库中的形状 修改并不需要改变该识别的单元或其邻近单元的布置。这样,期望 设计者可用的单元库中的形状修改可以被加入设计而无需额外的定 时分析、无需改变布置或布线结构。

因而提供单元的元件的形状修改的库,这些单元能够用于指定 集成电路设计的布局文件中,所述集成电路设计包括这些单元的元 件的形状、这些单元的布置、以及这些单元之间的互连。该库包括 指示在布局文件中对单元应用形状修改而得到的单元的电路参数的 调整的数据。该调整通过在单元的形状修改的组合上执行特定于工 厂工艺的光学邻近校正而被校准。库中的形状修改被约束为落入单 元的边界并且遵守单元的元件之间的设计规则间距,从而使得对单 元应用来自库中的形状修改无需该单元的布置的改变。

描述了一种适合于执行上述EDA处理的数据处理系统。

描述了一种制造产品,其包括存储了可以由诸如上述的数据处 理系统所执行的指令的机器可读数据存储介质。

还描述了一种由上述的EDA处理所制造的集成电路元件。

通过阅读随后的附图、具体实施方式和权利要求书,本发明的 其他方面和优点能被理解。

附图说明

图1是示意性集成电路设计流程的简化表示,其中可以采用本 文所描述的布置和布线操作之后的形状修改。

图2是适合于如本文所描述的操作的数据处理系统的简化方框 图。

图2A示出了包括计算机可读介质的制造产品,在该计算机可读 介质上可以存储并分发如本文所描述的布局文件、形状修改库、流 片文件以及其他计算机软件资源。

图3至图5示出了布置和布线之后的基本场效应晶体管的布局 的代表性形状修改。

图6示出了集成电路元件,其包括布置和布线之后的包括两个 场效应晶体管的更为复杂的单元的布局的代表性形状修改。

图7是示出像图6中所示那样的形状修改对诸如能通过应用 OPC配方所确定的栅极结构的影响。

图8是用于产生用于本文所描述的过程中要使用的形状修改的 库的过程的简化流程图。

图9是使用如本文所描述的在布置和布线之后的形状修改的集 成电路设计过程的简化流程图。

图10是分析布置和布线之后的布局文件以选择单元以供使用如 本文所描述的形状修改来调整的单元的过程的简化流程图。

具体实施方式

参考图1至图10给出本发明的实施例的详细描述。

图1是示意性集成电路设计流程的简化表示。应理解,如本文 中的所有流程图一样,图1中的许多步骤可以被组合、并行地执行 或以不同的顺序执行而不影响所实现的功能。在某些情况下步骤的 重新安排仅在某些其他变化也被做出时才实现相同的结果,而在其 他情况下步骤的重新安排仅在某些条件满足时才实现相同的结果。 这样的重新安排可能性对读者而言是显而易见的。

在高层,图1的过程开始于产品概念(方块100)并且在EDA (电子设计自动化)软件设计过程(方块110)中实现。当设计定稿 时,制造过程(方块150)以及封装和装配过程(方块160)发生, 最后的结果是完成的集成电路芯片(结果170)。

EDA软件设计过程(方块110)由多个步骤111-130组成,为简 明起见示成线性方式。在实际集成电路设计过程中,特定的设计可 能不得不在步骤中反复直到特定测试通过。类似地,在任何实际设 计过程中,这些步骤可能以不同的顺序和组合出现。本说明因而通 过上下文和一般解释的方式被提供,而非具体的、或推荐的对于特 定集成电路的设计流程。

现在将提供EDA软件设计过程(方块110)的构成步骤的简要 说明。

系统设计(方块111):设计者描述他们想要实现的功能,他们 可以执行假设规划(what-if planning)以细化功能、检查成本等。硬 件-软件架构可以出现于该阶段。可以被用于该步骤的来自Synopsys 公司的示例性EDA软件产品包括Model Architect、Saber、System  Studio以及DesignWare产品。

逻辑设计和功能验证(方块114):在该阶段,诸如VHDL或 Verilog代码的用于系统中的模块的高级描述语言(HDL)代码被编 写,并且设计为了功能精确而被检查。更具体地,设计被检查以确 保其响应于特定的输入激励而产生正确的输出。可以被用于该步骤 的来自Synopsys公司的示例性EDA软件产品包括VCS、VERA、 DesignWareMagellan、Formality、ESP以及LEDA产品。

用于测试的综合和设计(方块116):在此,VHDL/Verilog被 翻译成网表。该网表可以为了目标技术而被优化。此外,发生测试 的设计和实施以允许检查完成的芯片。可以被用于该步骤的来自 Synopsys公司的示例性EDA软件产品包括Design CompilerPhysical Compiler、Test Compiler、Power Complier、FPGA Compiler、 TetraMAX以及DesignWare产品。

网表验证(方块118):在该步骤,网表为了符合定时限制和为 了与VHDL/Verilog源代码的对应而被检查。可以被用于该步骤的来 自Synopsys公司的示例性EDA软件产品包括Formality、PrimeTime、 以及VCS产品。

设计计划(方块120):在此,芯片的整体平面图为了定时和顶 层布线而被建立和分析。可以被用于该步骤的来自Synopsys公司的 示例性EDA软件产品包括Astro以及IC Compiler产品。

物理实施(方块122):布置(电路元件的定位)和布线(电路 元件的连接)发生在该阶段。可以被用于该步骤的来自Synopsys公 司的示例性EDA软件产品包括AstroRail、Primetime、以及Star RC/XT 产品。

分析和提取(方块124):在该阶段,电路功能在晶体管级别被 验证,这进而允许假设细化。可以被用于该步骤的来自Synopsys公 司的示例性EDA软件产品包括AstroRail、PrimeRail、Primetime、以 及Star RC/XT产品。利用来自设计库的定时信息而设想来自已布置 和已布线的电路设计的寄生提取,以产生所得的定时值,这可以被 用于验证以及识别具有可用松弛的电路路径。

物理验证(方块126):在该阶段不同的检查功能被执行以确保 以下各项的正确性:制造、电问题、光刻问题以及电路。可以被用 于该步骤的来自Synopsys公司的示例性EDA软件产品包括Hercules 产品。

与物理验证阶段的处理一起,或者在分析和提取阶段中,设计 规则符合几何修改可以被增加以改进设计的电特性,诸如有效栅极 长度改善以降低漏泄电流,这无需回到布置和布线处理。以下更为 详细地描述在该阶段中增加几何修改的处理。这些处理可以与提供 布置和布线功能的例如IC Compiler的产品或者提供后期布置和布线 分析工具的例如Prime Time的产品集成,或者与其组合使用,这两 个产品都可以从Synopsys公司得到。

流片(方块127):该阶段提供“流片”数据用于生产用于光刻 用途的掩膜以产生完成的芯片。可以被用于该阶段的来自Synopsys 公司的示例性EDA软件产品包括CATS(R)族的产品。

分辨率增强(方块128):该阶段涉及布局的几何处理以改善设 计的可制造性。使用如本文所描述的多核处理系统来执行的基于卷 积算法的空中图像模拟,可以被用于设计的该阶段,以及其他阶段。 可以被用于该阶段的来自Synopsys公司的示例性EDA软件产品包括 Proteus/Progen、ProteusAF以及PSMGen产品。

掩膜准备(方块130):该阶段包括掩膜数据准备和掩膜自身的 写入。可以被用于该阶段的来自Synopsys公司的示例性EDA软件产 品包括CATS(R)族的产品。

本文中所描述的卷积技术的实施例可以被用于上述各阶段中的 一个或多个的期间。

图2是适合于与该技术的实施例一起使用的计算机系统210的 简化方框图。计算机系统210典型地包括一个或多个处理器214,其 可以经由总线子系统212与多个外围设备通信。

外围设备可以包括:包括存储器子系统226和文件存储子系统 228的存储子系统224、用户接口输入设备222、用户接口输出设备 220、以及网络接口子系统216。输入和输出设备允许用户与计算机 系统210交互。网络接口子系统216提供到外部网络的接口,包括 到通信网络218的接口,并且经由通信网络218被耦接到其他计算 机系统中的相应接口设备。通信网络218可以包括许多互连的计算 机系统以及通信链路。这些通信链路可以是有线链路、光链路、无 线链路、或者任何其他用于信息通信的机制。尽管在一个实施例中, 通信网络218是因特网,但在其他的实施例中,通信网络218可以 是任何合适的计算机网络。

用户接口输入设备222可以包括键盘、诸如鼠标的指点设备、 轨迹球、触摸板、或者图形输入板、扫描仪、合并到显示器中的触 摸屏、诸如语音识别系统的音频输入设备、麦克风、和其他类型的 输入设备。一般地,使用术语“输入设备”意在包括将信息输入到 计算机系统210中或通信网络218上的所有可能类型的设备和方式。 用户接口输入设备222可以被用于识别评估点、选择核心或者用于 在评估点上操作、以及用于提供其他输入数据的其他功能。

用户接口输出设备220可以包括显示器子系统、打印机、传真 机、或者诸如音频输出设备的非视觉显示器。显示器子系统可以包 括阴极射线管(CRT)、诸如液晶显示器(LCD)的平板设备、投 影设备、或者某些用于产生视觉图像的其他机制。显示器子系统可 以还提供非视觉显示,诸如经由音频输出设备的非视觉显示。一般 地,使用术语“输出设备”意在包括将信息从计算机系统210输出 到用户或者另一机器或计算机系统的所有可能类型的设备和方式。 用户接口输出设备220可以被用于提供本文所描述的操作的结果的 图形显示。

存储子系统224存储基本的指令程序和数据结构,其提供本文 中所描述的某些或所有EDA工具的功能,诸如可以从Synopsys公司 得到的IC Compiler Suite和PrimeTime suite,包括布局文件、形状修 改库、以及用于执行以下所描述的过程以为了电路优化而在布置和 布线之后应用微小布局变化的指令程序。

存储器子系统226典型地包括多个存储器,包括用于存储在程 序执行期间的指令和数据的主随机访问存储器(RAM)230,以及其 中存有固定指令的只读存储器(ROM)232。文件存储子系统228提 供程序和数据文件的永久存储,并且可以包括硬盘驱动器、具有相 关联的可移除介质的软盘驱动器、CD-ROM驱动器、光驱、或者可 移除介质盒。实施某些实施例的功能的数据库和模块可以被文件存 储子系统228所存储。取决于所采用的架构,多核处理器的共享存 储器可以独立于存储子系统或者是其一部分。

总线子系统212提供一种机制使得计算机系统210的多种元件 和子系统如所期望的相互通信。尽管总线子系统212被示意地示出 为单一总线,但总线子系统的可替代实施例可以使用多个总线。

图2A显示了制造产品,包括计算机可读介质240,其可以是与 文件存储子系统228相关联的、和/或与网络接口子系统216相关联 的介质。计算机可读介质240可以是硬盘、软盘、CD-ROM、光介 质、可移除介质盒、磁带驱动器、闪存或者其他数据存储介质,在 其上存储了可以由计算机执行的指令以供分发和/或安全保管。计算 机可读介质240存储数据结构和可执行文件280,包括布局文件、形 状修改库、以及用于执行以下所描述的过程以为了电路优化而在布 置和布线之后应用微小布局变化的指令程序。

示于图2中的计算机系统210的描述仅意在例示可能的实施例 的目的。具有多于或者少于图2中所示的计算机系统的元件的计算 机系统210的许多其他配置是可能的。计算机系统210在某些实施 例中包括处于分布式架构中或者服务器农场安排中的多个站点,每 一个可以具有图2中所示的元件。

计算机系统210包括诸如在前面所提及的IC Compiler和 PrimeTime中商业可得的资源。这样的资源产生布局文件,在该布局 文件中,为了网表的物理实施所选择的单元被布置并布线。产生定 义已布置和已布线的设计的文件,包括关于单元的定时以及单元的 元件的形状和布置的信息,如例如在用于单元的多层的光刻掩膜布 局和单元的互连结构中所反映的。已布置和已布线的设计可以进一 步使用例如在PrimeTime中可得到的工具被分析,以提取起因于不 完全地以已布置和已布线的文件可得到的定时信息表征的已布置和 已布线的设计的寄生电容和电阻。可以被用于提取过程的由 Synopsys公司分出的primetime suite的一个工具部分被称作Star RC  XT。用于提取过程的产业所使用的类似的工具包括来自Mentor  Graphics公司的Calibre xRC、以及来自Cadence Design Systems公司 的Assura Parasitic Extraction。在提取之后,进一步的定时分析可以 被执行,其中设计中的电路路径的定时被验证。

作为定时分析的一部分,设计中的具有定时松弛的电路路径可 以被识别。具有定时松弛的电路路径具有这样的特性,即传播于该 电路路径上的信号满足被称为松弛的剩余时间的定时规范。这样的 电路路径可以使用影响电路路径上的单元的操作的速度的工具而被 安全地优化,只要由这样的修改引起的延迟不消耗所有的可用的定 时松弛。如本文中所描述的不均匀的栅极长度修改是可以降低漏泄 电流同时具有可接受的对延迟的影响的一类修改。

在该阶段可以被优化的一个电路参数是集成电路的漏泄功率。 单元可以通过调整栅极长度或通过其它方式而被修改,以在该阶段 优化该参数。计算机系统可以利用提取之后的定时分析以识别具有 足够松弛的电路路径以允许电路参数的修改。过程于是可以沿被识 别的电路路径移动以识别沿着该路径的适合于修改的单元。另外, 可能诸如通过参考可用的定时松弛、以及对该路径上的特定单元可 以在该定时松弛之内被降低的漏泄功率的量,来识别沿着路径的对 于修改是优先候选的单元。识别可以具有对设计的期望的影响的单 元。

计算机系统210可以提供支持在该阶段的修改的接口。首先, 通过定义设计文件中的单元的关键布局修改参数并执行这些单元的 特定于技术的、与设计无关的校准,来产生形状修改的库。通过校 准,潜在的修改被处理以建立有效栅极长度(或其他布局参数)的 调整的量,并建立在整个单元中在定时延迟上的影响。

在一个如本文中所描述的用于在布置和布线之后降低电路设计 的漏泄电流的系统中,设计者可以选择特定修改类型的布局参数, 所述修改类型定义用于均匀(在活性区域上为常数)和不均匀(在 活性区域上变化)的栅极长度修改的OPC使能的所得栅极形状。图 3至图5中示出了用于适合于栅极长度调整的形状修改类型的四种 代表性的参数。

图3至图5显示了场效应晶体管FET布局,其可以构成库中的 简单单元或者更大单元的一部分。FET布局包括覆盖活性区域301 的栅极300,其中在区域302和303中设置接触。栅极300是具有标 称栅极长度的矩形形状,标称栅极长度定义为在电流路径的栅极之 下的、活性区域301的相对侧之间的长度,其中接触区域302和303 位于所述相对的侧。栅极的宽度(垂直于电流路径)由栅极300位 于其上的活性区域的宽度Wo建立。可以通过如图3中所示的在栅 极300的相对侧上增加注记(annotation)304a和304b、如图4所示 的在栅极300的一侧增加注记305、以及如图5所示的在栅极的相对 侧增加注记306a和306b,而调整有效栅极宽度。注记的特点在于在 栅极300的组合的形状之后对活性区域301中的栅极300的宽度具 有影响,并且这些注记经受OPC配方。例如,与栅极300组合在一 起的注记304a和304b或者注记306a和306b将导致活性区域的两 个边缘处的栅极长度大于活性区域的中心的栅极长度,而不是具有 尖锐的角。注记305将导致具有弯曲侧的不对称的栅极。这一组注 记可以以例如参数Ex、S、Ey、Wo以及M表征,其中Ex是栅极长 度维度中的注记的长度,S是注记从活性区域301的边缘的偏移(正 或负),Ey是注记的宽度,Wo是活性区域的宽度,而M指示注记 的对称性,也就是说,它是在活性区域的一侧还是两侧上。

由工厂提供的特定于工艺的OPC配方然后被应用到修改后的栅 极形状以产生将在硅上获取的特定于工厂工艺的轮廓。由该工厂所 验证的轮廓至电分析工具,诸如从Synopsys公司可以得到的 SeisMOS CX被用于处理栅极轮廓以提取电等效的晶体管维度(宽度 和长度)。该过程被重复以覆盖该注记类型的N型和P型晶体管两 者的变量Ex、S、Ey、Wo以及M的变量空间。

示于表1中的类型的校准表因而可以被建立,其可用作形状修 改库。在该实施例中,表可以被组织从而对于示于图3至图5中的、 在已布置和已布线的文件中具有N型或P型活性区域、栅极宽度 Wo以及标称栅极长度的每一个特定类型的晶体管,为了相应的栅极 长度调整(预期的LG变化)而指定必要的注记维度(Ex、S、Ey以 及M)。因此,一旦特定于工厂工艺的校准得以完成,为了期望的 栅极形状变化,校准表可以被用于识别必要的注记维度(Ex、S、Ey以及M)以实现特定于晶体管的、设计预期的栅极长度LG变化。

  期望的LG变化   Xtor类型/Wo  M   Ex  S   Ey  2nm   N/50nm   2   84nm   50nm   60nm   4nm   N/50nm   2   84nm   40nm   60nm   6nm   N/50nm   2   84nm   30nm   60nm

取决于实施方式,为了用于布局中的更为复杂的单元,诸如 NAND栅极、NOR栅极、缓冲器、反相器等等,注记可以在逐个单 元的基础上被定义。

图6显示了包括两个晶体管单元400的简化示例的集成电路元 件。单元400具有由图中的矩形轮廓所启发性地表示、并且可由设 计规则检查以及布置和布线工具使用的布局文件中的标称或实际边 界450。在单元400中,第一栅极401和第二栅极402相交活性区域 403。第一行接触411-413被布置在活性区域403中栅极401的左边。 第二行接触414-416被布置于活性区域403中栅极401和402之间。 第三行接触417-419被布置于活性区域403中栅极402的右边。在该 示例中,每一个栅极401和402的栅极长度LG是相等的。栅极401 和402延伸于其上的活性区域的宽度Wo对于每一个栅极也是相等 的。注记405a和405b被布置在栅极401的相对的端部上。同样地, 注记406a和406b被布置在栅极402的相对的端部上。在该示例中, 注记维度由参数S、Ex和Ey所定义,其中S定义从活性区域的偏移, Ex定义栅极长度维度中的注记的长度,而Ey定义在栅极宽度维度中 延伸离开活性区域的注记的宽度。如所示,设计规则指定了注记之 间的最小间距以满足制造工艺的要求。

在该示例中,要求注记之间的间距大于或等于约70nm。这是限 制在布置和布线之后可以被利用的注记的类型的设计规则的一个示 例,从而注记不需要在设计流程中返回到布置和布线处理。另外, 栅极宽度中的任何调整不应违反关于接触和栅极结构的边缘之间的 间距的设计规则。因此,在某些单元中,简单地如平行于栅极边缘 的虚线(例如虚线408)所指示的那样扩大栅极的宽度可能不是可行 的选择。另外,可能仅对于有限量的栅极长度调整可行,此后如果 引起不均匀栅极长度特性的注记在接触和栅极的所得弯曲边缘之间 具有额外的余地,则所述注记可以被利用。因此,为了在类似图6 中所示的单元中实现漏泄电流的降低,可以基于参数S、Ex、Ey和 LG而定义一族注记。例如,注记库可以具有可用于注记的数据,其 中参数S等于10nm、20nm、30nm;参数Ex等于40nm、50nm和60nm; 参数Ey等于40nm、60nm和80nm;LG等于库中的标称值30nm,或 者不违反与单元的平衡有关的设计规则的调整后的宽度、最大为 34nm。

在可替代系统中,库可以指定注记的维度中的范围以及可以被 用于预测在范围内的维度的变化的结果的校准因素,而不是在库中 指定对维度的离散的形状修改。因此,在注记的一个或多个维度上 的一个或全部两个离散的修改、以及在一个注记的一个或多个维度 上的在所指定的范围之内的连续的修改可以被定义在适合于特定单 元的库中。另外,此处的示例显示了位于栅极结构中心的矩形注记。 偏离中心的注记和非矩形的注记也可以被使用。

图3至图5所示注记导致不均匀的栅极长度调整。在某些设计 中,对于跨活性区域的均匀栅极长度调整而言,也提供简单地使得 栅极形状维度在栅极长度维度中更大的注记可以是有用的。

在该例示中,形状修改被限制为栅极结构的注记。在可替代实 施例中,适合于单元库、被优化的电路参数、制造过程以及其他因 素,单元的其他元件可以被修改,诸如活性区域的轮廓等等。可用 的修改不应以变更单元的边界的方式延伸到单元之外,因为其是为 了被利用的设计规则的目的而被定义的。以这种方式,形状修改的 影响可以被限制于被修改的单元,而不传播到相邻的单元。形状修 改被限制为落入布局中的单元的可以是标称的或实际的边界(例如 边界450)内并且遵守单元的元件之间的设计规则间距,以使得对所 识别的单元应用来自库中的形状修改无需变化所识别的单元或相邻 于所识别的单元的单元的布置。

给定在诸如漏泄电流以及可用于单元的调整的松弛之类的所选 参数中的期望的变化量,注记的维度可以参考可用注记的查找表或 其他库数据结构而得以确定。注记可以被应用到已布置和已布线的 设计,而无需布置和布线的进一步反复。另外,注记可以被可靠地 应用,因为它们已经为了电路参数的期望的调整而使用特定于工厂 工艺的OPC配方被校准,所述电路参数的期望调整诸如栅极长度调 整、漏泄电流降低或其他等效或类似电路参数。

图7示出了使用如图6中所示注记制造的栅极结构的布局,其 中以微米为单位的横轴处于栅极长度维度,而以微米为单位的纵轴 处于栅极宽度维度。布局的线700与活性区域的边缘对应。布局的 线701显示了由注记引起的不均匀的轮廓。不均匀的轮廓包括由虚 线三角所表示的区域702。三角的长边“Intr”显示了栅极宽度调整 从活性区域的边缘侵入活性区域直至标称栅极宽度得以恢复。三角 的短边B显示了作为超过标称宽度的注记的结果的栅极宽度的延 长。

在某些技术中使用不均匀的栅极长度结构造成漏泄电流的显著 的降低同时对晶体管的驱动强度或速度仅有非常微小的影响。因此, 对于诸如漏泄电流降低的某些应用,使用引起在单元中的结构的形 状中的不均匀的修改的注记可以是优选的。

图8显示了开发形状修改的库的过程。在该过程中,输入包括 将用于制造设备的诸如从工厂可得到的特定于工艺的OPC配方 (800),以及一组测试栅极/活性轮廓几何体(801)。使用OPC配 方应用光学邻近校正过程以产生所得的布局形状(802)。结果,提 供栅极/活性轮廓的OPC知晓(803)。然后,使用由工厂所验证的 工具诸如从Synopsys公司可得到的SeisMOS CX或等效产品,执行 轮廓至电分析(804)。轮廓至电分析提供了关于作为应用被分析的 维度的注记的结果的、单元中晶体管的有效栅极长度的信息。有效 栅极长度可以例如通过参考单元的漏泄电流而得以定义,其中具有 作为应用如本文中描述的注记的结果的不均匀栅极长度的、具有有 效栅极长度X的单元与具有均匀栅极长度X的其他等效单元具有相 同的漏泄电流特性。

轮廓至电分析被安排在单元的校准表中(805)。过程对作为调 整的候选的所有单元而重复并且组合到形状修改的库中(806),形 状修改的库被存储为在设计流程期间有用的机器可读文件807。

图9是本文所描述的形状修改过程的简化流程图。该流程图开 始于在布置和布线之后的布局文件的输入(900)。布局文件可以具 有分级结构,其中对于要制造的集成电路结构指定被选择用于实施 的单元和用于连接所述单元的互连结构的多个层的形状和位置。在 布置和布线之后,提交布局文件以进行优化分析(901)。优化分析 将包括提取寄生电容和电阻并使用所提取的参数和来自于用于布局 文件中的单元的单元库中的信息来重新计算设计的定时性能。作为 优化分析的结果,提供设计的电参数,包括漏泄电流、定时松弛等 (902)。使用来自优化分析的信息,识别作为如本文所描述的形状 修改的候选的单元,并且特定形状修改可以被选择以实现指定电路 参数的改善(903)。例如,该过程可以识别所有的具有松弛的电路 路径,并且在这样的电路路径上的、在形状修改的库中具有条目的 单元可以被识别为修改的合适的候选。过程然后可以简单地优化所 有这样的单元,或执行进一步过滤以降低将被应用的修改的数量。

给定将被修改的单元的识别,参考形状修改的OPC校准后的库 以确定每一个所选择的单元的形状修改(904)。所选择的注记然后 被增加到与所识别的单元有关的布局文件中以产生修改后的布局文 件(905)。所选择的注记可以例如被增加到布局文件中作为图形数 据系统II(GDSII)格式文件中的注记层。可替代地,所选择的注记可 以被用于以其他方式修改布局文件。修改后的布局文件现在准备好 在该过程中“签发(sign off)”,并可以被用于流片。

制造过程前进到流片(906),无需布置和布线的进一步反复。 作为流片过程的结果,使用适合于发送给制造者的布局格式语言诸 如GDSII或者开放原图系统互换标准(OASIS)而产生流片文件。使 用流片文件而执行特定于工艺的OPC,其中特定于工艺的OPC匹配 于形状修改的校准后的表的生成中所使用的(907)。在光学邻近校 正之后,制造掩膜以被用于制造过程中(908)。最后,利用掩膜制 造集成电路(909)。

图10是优化分析和识别用于形状修改的单元的过程流,类似可 以被应用在图9中的步骤901和903的过程流。在该流程中,过程 开始于布置和布线工具(851)。布置和布线工具的输出是布局文件, 从中可以提取寄生,并且可以以比已布置和已布线的布局文件的单 元库中可以得到的更为精确的方式执行定时分析(852)。接着,识 别具有定时松弛的电路路径(853)。在该流程中,识别可以改善的 电路路径中的电路参数,诸如电流漏泄(854)。估算或计算每一个 电路路径的电路参数的值(855)。接着,基于将被调整的所估算的 电路参数的值、可以被电路修改所影响的可用的定时松弛、以及修 改对改善所选择的电路参数的有效性而选择每一个电路路径中的单 元。

尽管本发明参考上面详细描述的优选实施例和示例而得以公 开,但应能理解这些示例意在说明而非限制。可以预期本领域技术 人员很容易做出修改和组合,这些修改和组合将落入本发明的精神 以及所附权利要求的范围之内。

去获取专利,查看全文>

相似文献

  • 专利
  • 中文文献
  • 外文文献
获取专利

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号