掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE International Test Conference
IEEE International Test Conference
召开年:
2016
召开地:
Fort Worth(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
55
条结果
1.
Handling wrong mapping: A new direction towards better diagnosis with low pin convolution compressors
机译:
处理错误的映射:使用低销回旋压缩机进行更好诊断的新方向
作者:
Subhadip Kundu
;
Parthajit Bhattacharya
;
Rohit Kapur
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Circuit faults;
Compressors;
Convolution;
Synthetic aperture sonar;
Fault diagnosis;
Compaction;
Pins;
2.
Using symbolic canceling to improve diagnosis from compacted response
机译:
使用符号消除来改善紧凑响应带来的诊断
作者:
Kamran Saleem
;
Nur A. Touba
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Fault location;
Data mining;
Compaction;
Mathematical model;
Logic gates;
Production;
Shift registers;
3.
Minimal area test points for deterministic patterns
机译:
确定性图案的最小面积测试点
作者:
Yingdi Liu
;
Elham Moghaddam
;
Nilanjan Mukherjee
;
Sudhakar M. Reddy
;
Janusz Rajski
;
Jerzy Tyszer
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Flip-flops;
Measurement;
Logic gates;
Circuit faults;
Silicon;
Automatic test pattern generation;
Signal resolution;
4.
SERDES external loopback test using production parametric-test hardware
机译:
使用生产参数测试硬件进行SERDES外部环回测试
作者:
Shalini Arora
;
Aman Aflaki
;
Sounil Biswas
;
Masashi Shimanouchi
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Production;
Insertion loss;
Resistors;
Microstrip;
Pins;
Bit error rate;
5.
Fault simulation for analog test coverage
机译:
故障模拟以进行模拟测试
作者:
Jyotsna Sequeira
;
Suriyaprakash Natarajan
;
Prashant Goteti
;
Nitin Chaudhary
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Circuit faults;
Manufacturing;
Integrated circuit modeling;
Analog circuits;
Silicon;
Load modeling;
Transmitters;
6.
A built-in self-repair scheme for DRAMs with spare rows, columns, and bits
机译:
具有备用行,列和位的DRAM的内置自修复方案
作者:
Chih-Sheng Hou
;
Yong-Xiao Chen
;
Jin-Fu Li
;
Chih-Yen Lo
;
Ding-Ming Kwai
;
Yung-Fa Chou
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Random access memory;
Maintenance engineering;
Circuit faults;
Redundancy;
Registers;
Algorithm design and analysis;
Decoding;
7.
Mixed-signal ATE technology and its impact on today's electronic system
机译:
混合信号ATE技术及其对当今电子系统的影响
作者:
Gordon W. Roberts
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Manufacturing;
Semiconductor device measurement;
Integrated circuits;
Engines;
Digital signal processing;
Computer architecture;
8.
Novel crosstalk evaluation method for high-density signal traces using clock waveform conversion technique
机译:
利用时钟波形转换技术的高密度信号线串扰评估方法
作者:
Takayuki Nakamura
;
Koji Asami
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Crosstalk;
Transmission line measurements;
Clocks;
Pulse measurements;
Finite impulse response filters;
Frequency measurement;
Power transmission lines;
9.
Defect tolerance for CNFET-based SRAMs
机译:
基于CNFET的SRAM的缺陷容限
作者:
Tianjian Li
;
Li Jiang
;
Xiaoyao Liang
;
Qiang Xu
;
Krishnendu Chakrabarty
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
CNTFETs;
Redundancy;
Maintenance engineering;
Circuit faults;
Computer architecture;
SRAM cells;
10.
Accessing 1687 systems using arbitrary protocols
机译:
使用任意协议访问1687系统
作者:
Michele Portolan
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Protocols;
Registers;
Standards;
Ports (Computers);
Instruments;
Artificial intelligence;
Finite element analysis;
11.
A unified test and fault-tolerant multicast solution for network-on-chip designs
机译:
片上网络设计的统一测试和容错多播解决方案
作者:
Dong Xiang
;
Krishnendu Chakrabarty
;
Hideo Fujiwara
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Fault tolerance;
Fault tolerant systems;
Unicast;
Routing;
Built-in self-test;
System-on-chip;
12.
Analog fault coverage improvement using final-test dynamic part average testing
机译:
使用最终测试动态零件平均值测试来改善模拟故障覆盖率
作者:
Wim Dobbelaere
;
Ronny Vanhooren
;
Willy De Man
;
Koen Matthijs
;
Anthony Coyette
;
Baris Esen
;
Georges Gielen
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Testing;
Circuit faults;
Vehicle dynamics;
Probes;
Integrated circuits;
Industries;
13.
Effective DC fault models and testing approach for open defects in analog circuits
机译:
有效的直流故障模型和测试方法,用于模拟电路中的开路缺陷
作者:
Baris Esen
;
Anthony Coyette
;
Georges Gielen
;
Wim Dobbelaere
;
Ronny Vanhooren
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Transistors;
Semiconductor process modeling;
Circuit faults;
Capacitance;
Logic gates;
Analog circuits;
Metals;
14.
Low cost ultra-pure sine wave generation with self calibration
机译:
具有自校准功能的低成本超纯正弦波生成
作者:
Yuming Zhuang
;
Akhilesh Kesavan Unnithan
;
Arun Joseph
;
Siva Sudani
;
Benjamin Magstadt
;
Degang Chen
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Power harmonic filters;
Matched filters;
Harmonic analysis;
Filtering algorithms;
Distortion;
Calibration;
Testing;
15.
Supply-voltage optimization to account for process variations in high-volume manufacturing testing
机译:
优化电源电压以解决大批量制造测试中的工艺变化
作者:
Gurunath Kadam
;
Markus Rudack
;
Krishnendu Chakrabarty
;
Juergen Alt
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Semiconductor device modeling;
SPICE;
Testing;
Mathematical model;
Performance evaluation;
Foundries;
16.
Pylon: Towards an integrated customizable volume diagnosis infrastructure
机译:
Pylon:建立集成的可定制体积诊断基础结构
作者:
Yan Pan
;
Rao Desineni
;
Kannan Sekar
;
Atul Chittora
;
Sherwin Fernandes
;
Neerja Bawaskar
;
John Carulli
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Poles and towers;
Databases;
Engines;
Automation;
Foundries;
Inspection;
Systematics;
17.
DE-LOC: Design validation and debugging under limited observation and control, pre- and post-silicon for mixed-signal systems
机译:
DE-LOC:在有限的观察和控制下,混合信号系统的硅前后,进行设计验证和调试
作者:
Barry Muldrey
;
Sabyasachi Deyati
;
Abhijit Chatterjee
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Circuit faults;
Computer bugs;
Silicon;
Training;
Debugging;
Algorithm design and analysis;
Predictive models;
18.
EMACS: Efficient MBIST architecture for test and characterization of STT-MRAM arrays
机译:
EMACS:高效的MBIST架构,用于测试和表征STT-MRAM阵列
作者:
Insik Yoon
;
Ashwin Chintaluri
;
Arijit Raychowdhury
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Magnetic tunneling;
Couplings;
Computer architecture;
Saturation magnetization;
Magnetic resonance imaging;
Circuit faults;
Thermal stability;
19.
A novel diagnostic test generation methodology and its application in production failure isolation
机译:
一种新颖的诊断测试生成方法及其在生产故障隔离中的应用
作者:
M. Enamul Amyeen
;
Dongok Kim
;
Maheshwar Chandrasekar
;
Mohammad Noman
;
Srikanth Venkataraman
;
Anurag Jain
;
Neha Goel
;
Ramesh Sharma
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Circuit faults;
Silicon;
Microprocessors;
Industries;
Manufacturing processes;
Complexity theory;
20.
Accurate anomaly detection using correlation-based time-series analysis in a core router system
机译:
在核心路由器系统中使用基于相关性的时间序列分析进行准确的异常检测
作者:
Shi Jin
;
Zhaobo Zhang
;
Krishnendu Chakrabarty
;
Xinli Gu
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Fault tolerance;
Fault tolerant systems;
Detectors;
Feature extraction;
Training;
Monitoring;
Communication systems;
21.
Statistical outlier screening as a test solution health monitor
机译:
统计离群值筛选作为测试解决方案健康监控程序
作者:
David Shaw
;
Dirk Hoops
;
Kenneth M. Butler
;
Amit Nahar
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Monitoring;
Hardware;
Algorithm design and analysis;
Probes;
Standards;
Sociology;
Statistics;
22.
Upper-bound computation for optimal retargeting in IEEE1687 networks
机译:
IEEE1687网络中用于最佳重定向的上限计算
作者:
Farrokh Ghani Zadegan
;
René Krenz-Baath
;
Erik Larsson
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Instruments;
Registers;
System-on-chip;
Clocks;
Electronic mail;
Computational modeling;
Discrete Fourier transforms;
23.
Diagnostic resolution improvement through learning-guided physical failure analysis
机译:
通过学习指导的物理故障分析提高诊断分辨率
作者:
Carlston Lim
;
Yang Xue
;
Xin Li
;
Ronald D. Blanton
;
M. Enamul Amyeen
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Training data;
Training;
Support vector machines;
Sociology;
Statistics;
Failure analysis;
Electronic mail;
24.
A suite of IEEE 1687 benchmark networks
机译:
一套IEEE 1687基准网络
作者:
Anton Tšertov
;
Artur Jutman
;
Sergei Devadze
;
Matteo Sonza Reorda
;
Erik Larsson
;
Farrokh Ghani Zadegan
;
Riccardo Cantoro
;
Mehrdad Montazeri
;
Rene Krenz-Baath
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Benchmark testing;
Instruments;
Standards;
Registers;
Ports (Computers);
Multiplexing;
Monitoring;
25.
Test point insertion in hybrid test compression/LBIST architectures
机译:
混合测试压缩/ LBIST体系结构中的测试点插入
作者:
Elham Moghaddam
;
Nilanjan Mukherjee
;
Janusz Rajski
;
Jerzy Tyszer
;
Justyna Zawada
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Logic gates;
Circuit faults;
Built-in self-test;
System-on-chip;
Silicon;
Automotive engineering;
Discrete Fourier transforms;
26.
Cross-layer system reliability assessment framework for hardware faults
机译:
硬件故障的跨层系统可靠性评估框架
作者:
A. Vallero
;
A. Savino
;
G. Politano
;
S. Di Carlo
;
A. Chatzidimitriou
;
S. Tselonis
;
M. Kaliorakis
;
D. Gizopoulos
;
M. Riera
;
R. Canal
;
A. Gonzalez
;
M. Kooli
;
A. Bosio
;
G. Di Natale
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Computational modeling;
Hardware;
Software reliability;
Bayes methods;
Estimation;
Software;
27.
Putting wasted clock cycles to use: Enhancing fortuitous cell-aware fault detection with scan shift capture
机译:
使用浪费的时钟周期:通过扫描移位捕获增强偶然的单元感知故障检测
作者:
Fanchen Zhang
;
Daphne Hwong
;
Yi Sun
;
Allison Garcia
;
Soha Alhelaly
;
Geoff Shofner
;
LeRoy Winemberg
;
Jennifer Dworak
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Circuit faults;
Clocks;
Standards;
Flip-flops;
System-on-chip;
Fault detection;
Compaction;
28.
Output bit selection methodology for test response compaction
机译:
输出位选择方法,用于测试响应压缩
作者:
Wei-Cheng Lien
;
Kuen-Jong Lee
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Circuit faults;
Compaction;
Radiation detectors;
Computer architecture;
Benchmark testing;
Algorithm design and analysis;
System-on-chip;
29.
An accurate algorithm for computing mutation coverage in model checking
机译:
在模型检查中计算变异覆盖率的精确算法
作者:
Huina Chao
;
Huawei Li
;
Tiancheng Wang
;
Xiaowei Li
;
Bo Liu
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Mathematical model;
Model checking;
Algorithm design and analysis;
Measurement;
Approximation algorithms;
Classification algorithms;
Complexity theory;
30.
An on-chip self-test architecture with test patterns recorded in scan chains
机译:
片上自测架构,测试模式记录在扫描链中
作者:
Kuen-Jong Lee
;
Pin-Hao Tang
;
Michael A. Kochte
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Silicon;
System-on-chip;
Built-in self-test;
Compaction;
Circuit faults;
Computer architecture;
31.
Memory repair for high fault rates
机译:
内存修复,故障率高
作者:
Panagiota Papavramidou
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Circuit faults;
Maintenance engineering;
Error correction codes;
Integrated circuit reliability;
Fabrication;
Memory management;
32.
Testing of interposer-based 2.5D integrated circuits
机译:
测试基于中介层的2.5D集成电路
作者:
Ran Wang
;
Krishnendu Chakrabarty
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Through-silicon vias;
Silicon;
Standards;
Built-in self-test;
Metals;
33.
Transformation of multiple fault models to a unified model for ATPG efficiency enhancement
机译:
将多个故障模型转换为统一模型以提高ATPG效率
作者:
Cheng-Hung Wu
;
Kuen-Jong Lee
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Circuit faults;
Integrated circuit modeling;
Logic gates;
Transforms;
Wires;
Compaction;
Solid modeling;
34.
Automatic test signal generation for mixed-signal integrated circuits using circuit partitioning and interval analysis
机译:
使用电路划分和间隔分析为混合信号集成电路自动生成测试信号
作者:
Anthony Coyette
;
Baris Esen
;
Wim Dobbelaere
;
Ronny Vanhooren
;
Georges Gielen
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Circuit faults;
Computational modeling;
Integrated circuit modeling;
Complexity theory;
Digital circuits;
Testing;
35.
Variation and failure characterization through pattern classification of test data from multiple test stages
机译:
通过来自多个测试阶段的测试数据的模式分类来进行变化和故障表征
作者:
Chun-Kai Hsu
;
Peter Sarson
;
Gregor Schatzberger
;
Friedrich Leisenberger
;
John Carulli
;
Siddhartha Siddhartha
;
Kwang-Ting Cheng
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Correlation;
Production;
Semiconductor device modeling;
Systematics;
Semiconductor device measurement;
Data models;
Discrete cosine transforms;
36.
Built-in self-test for micro-electrode-dot-array digital microfluidic biochips
机译:
内置微电极点阵列数字微流控生物芯片自检
作者:
Zipeng Li
;
Kelvin Yi-Tse Lai
;
Po-Hsien Yu
;
Krishnendu Chakrabarty
;
Tsung-Yi Ho
;
Chen-Yi Lee
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Built-in self-test;
Microelectrodes;
Sensors;
Computer architecture;
Transistors;
Circuit faults;
37.
Logic characterization vehicle design reflection via layout rewiring
机译:
通过布局布线逻辑反映车辆设计
作者:
Phillip Fynan
;
Zeye Liu
;
Benjamin Niewenhuis
;
Soumya Mittal
;
Marcin Strajwas
;
R. D. Shawn Blanton
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Layout;
Product design;
Standards;
Vehicles;
Random access memory;
Benchmark testing;
Fabrication;
38.
Recycled FPGA detection using exhaustive LUT path delay characterization
机译:
使用详尽的LUT路径延迟表征进行回收的FPGA检测
作者:
Md Mahbub Alam
;
Mark Tehranipoor
;
Domenic Forte
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Field programmable gate arrays;
Table lookup;
Aging;
Logic gates;
Transistors;
Delays;
39.
Machine learning-based defense against process-aware attacks on Industrial Control Systems
机译:
基于机器学习的防御,可防御工业控制系统上的过程感知攻击
作者:
Anastasis Keliris
;
Hossein Salehghaffari
;
Brian Cairl
;
Prashanth Krishnamurthy
;
Michail Maniatakos
;
Farshad Khorrami
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Integrated circuits;
Process control;
Security;
Mathematical model;
Real-time systems;
Hardware;
Software;
40.
Advanced test methodology for complex SoCs
机译:
复杂SoC的高级测试方法
作者:
Pavan Kumar Datla Jagannadha
;
Mahmut Yilmaz
;
Milind Sonawane
;
Sailendra Chadalavada
;
Shantanu Sarangi
;
Bonita Bhaskaran
;
Ayub Abdollahian
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
IP networks;
Pins;
Testing;
Codecs;
Discrete Fourier transforms;
Clocks;
Silicon;
41.
I-Q signal generation techniques for communication IC testing and ATE systems
机译:
用于通信IC测试和ATE系统的I-Q信号生成技术
作者:
Masahiro Murakami
;
Haruo Kobayashi
;
Shaiful Nizam Bin Mohyar
;
Osamu Kobayashi
;
Takahiro Miki
;
Junya Kojima
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Modulation;
Testing;
Integrated circuits;
Band-pass filters;
Noise shaping;
Quantization (signal);
Digital signal processing;
42.
Efficient cross-layer concurrent error detection in nonlinear control systems using mapped predictive check states
机译:
使用映射预测检查状态的非线性控制系统中的有效跨层并发错误检测
作者:
Suvadeep Banerjee
;
Abhijit Chatterjee
;
Jacob A. Abraham
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Fault detection;
Robot sensing systems;
Actuators;
Nonlinear systems;
Fault tolerance;
Fault tolerant systems;
43.
BIST-RM: BIST-assisted reliability management of SoCs using on-chip clock sweeping and machine learning
机译:
BIST-RM:使用片上时钟扫描和机器学习的BIST辅助的SoC可靠性管理
作者:
Mehdi Sadi
;
Gustavo Contreras
;
Dat Tran
;
Jifeng Chen
;
LeRoy Winemberg
;
Mark Tehranipoor
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Aging;
Degradation;
Clocks;
Mathematical model;
Delays;
Instruction sets;
44.
RF test accuracy and capacity enhancement on ATE for silicon TV tuners
机译:
用于硅电视调谐器的ATE的RF测试精度和容量增强
作者:
Y. Fan
;
A. Verma
;
Y. Su
;
L. Rose
;
J. Janney
;
V. Do
;
S. Kumar
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Radio frequency;
Tuners;
TV;
Silicon;
Noise measurement;
Gain;
Production;
45.
A reconfigurable built-in memory self-repair architecture for heterogeneous cores with embedded BIST datapath
机译:
具有嵌入式BIST数据路径的异构内核的可重构内置内存自我修复架构
作者:
V. R. Devanathan
;
Sumant Kale
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Maintenance engineering;
Built-in self-test;
Fuses;
Registers;
Memory management;
IP networks;
46.
Test time efficient group delay filter characterization technique using a discrete chirped excitation signal
机译:
使用离散chi激励信号测试时间有效的群时延滤波器表征技术
作者:
Peter Sarson
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Delays;
Chirp;
Time-frequency analysis;
Phased arrays;
Digital signal processing;
Phase measurement;
47.
Automated measurement of defect tolerance in mixed-signal ICs
机译:
自动化测量混合信号IC中的缺陷容限
作者:
Stephen Sunter
;
Alessandro Valerio
;
Riccardo Miglierina
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Circuit faults;
Monitoring;
Integrated circuits;
Measurement;
Redundancy;
48.
Harnessing process variations for optimizing wafer-level probe-test flow
机译:
利用工艺变化来优化晶圆级探针测试流程
作者:
Ali Ahmadi
;
Constantinos Xanthopoulos
;
Amit Nahar
;
Bob Orr
;
Michael Pas
;
Yiorgos Makris
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Probes;
Data mining;
Engines;
Optimization;
Automatic test equipment;
49.
What we know after twelve years developing and deploying test data analytics solutions
机译:
经过十二年的开发和部署测试数据分析解决方案,我们所知道的
作者:
Kenneth M. Butler
;
Amit Nahar
;
W. Robert Daasch
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Manufacturing;
Mathematical model;
Semiconductor device measurement;
Production;
Data models;
Semiconductor device modeling;
Testing;
50.
Online slack-time binning for IO-registered die-to-die interconnects
机译:
IO注册的管芯到管芯互连的在线空闲时间合并
作者:
Chih-Chieh Zheng
;
Shi-Yu Huang
;
Shyue-Kung Lu
;
Ting-Chi Wang
;
Kun-Han Tsai
;
Wu-Tung Cheng
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Monitoring;
Delays;
Integrated circuit interconnections;
Clocks;
Flip-flops;
Tuning;
51.
Test chip design for optimal cell-aware diagnosability
机译:
测试芯片设计可实现最佳的细胞感知诊断能力
作者:
Soumya Mittal
;
Zeye Liu
;
Ben Niewenhuis
;
R. D. Shawn Blanton
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Circuit faults;
IP networks;
Logic gates;
Standards;
Libraries;
Integrated circuit modeling;
Benchmark testing;
52.
Securing digital microfluidic biochips by randomizing checkpoints
机译:
通过随机化检查点来保护数字微流控生物芯片
作者:
Jack Tang
;
Ramesh Karri
;
Mohamed Ibrahim
;
Krishnendu Chakrabarty
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Electrodes;
Hardware;
Cameras;
Security;
Trojan horses;
Charge coupled devices;
53.
Power supply impedance emulation to eliminate overkills and underkills due to the impedance difference between ATE and customer board
机译:
电源阻抗仿真可消除由于ATE和客户板之间的阻抗差异而造成的过大杀伤
作者:
Toru Nakura
;
Naoki Terao
;
Masahiro Ishida
;
Rimon Ikeno
;
Takashi Kusaka
;
Tetsuya Iizuka
;
Kunihiro Asada
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Power supplies;
Impedance;
Voltage measurement;
Testing;
Mathematical model;
Voltage control;
Impedance measurement;
54.
Active reliability monitor: Defect level extrinsic reliability monitoring on 22nm POWER8 and zSeries processors
机译:
主动可靠性监视器:在22nm POWER8和zSeries处理器上的缺陷级别外部可靠性监视
作者:
Michael Johnson
;
Brian Noble
;
Mark Johnson
;
Jim Crafts
;
Cynthia Manya
;
John Deforge
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Maintenance engineering;
Monitoring;
Semiconductor device reliability;
Production;
Stress;
Arrays;
55.
Known-good-die test methods for large, thin, high-power digital devices
机译:
适用于大型,薄型,高功率数字设备的良好管芯测试方法
作者:
Dave Armstrong
;
Gary Maier
会议名称:
《IEEE International Test Conference》
|
2016年
关键词:
Testing;
Probes;
Three-dimensional displays;
Mathematical model;
Thermal resistance;
Electrical resistance measurement;
Performance evaluation;
上一页
1
下一页
意见反馈
回到顶部
回到首页