掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Optical microlithography XXII
Optical microlithography XXII
召开年:
2009
召开地:
San Jose, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Partially coherent image computation using elementary functions
机译:
使用基本函数的部分相干图像计算
作者:
Arlene Smith
;
Anna Burvall
;
Christopher Dainty
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
partial coherence;
excimer;
imaging;
2.
A novel fast 3D resist simulation method using Chebyshev expansion
机译:
使用Chebyshev展开的新型快速3D抗蚀剂模拟方法。
作者:
Masanori Takahashi
;
Satoshi Tanaka
;
Shoji Mimotogi
;
Soichi Inoue
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
lithography simulation;
OPC;
resist model;
FFT;
3.
A manufacturing lithographic approach for high density MRAM device using KrF double mask patterning technique
机译:
使用KrF双掩模构图技术的高密度MRAM器件的制造光刻方法
作者:
Daniel Liu
;
Tom Zhong
;
Terry Torng
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
wordline;
KrF;
double exposure;
double patterning;
OL;
CD;
pitch splitting;
4.
Transformation procedure from sparse OPC model to grid-based model
机译:
从稀疏OPC模型到基于网格的模型的转换过程
作者:
Qingwei Liu
;
Liguo Zhang
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
optical proximity correction (OPC);
resolution enhancement technique (RET);
sparse;
grid-based;
5.
Efficient hardware usage in the mask tapeout flow
机译:
遮罩流片流程中的高效硬件使用
作者:
Mathias Boman
;
Travis Brist
;
Yongdong Wang
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
RET TAT;
EDA;
mask tapeout flow;
parallel processing;
hardware usage;
6.
Pre-OPC Layout Decomposition for Improved Image Fidelity
机译:
OPC之前的版面分解可提高图像保真度
作者:
Shady Abdelwahed
;
Rami Fathy
;
Jae Hyun Kang
;
Jong Doo Kim
;
Youngmi Kim
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
RET;
OPC;
mask rules checking;
layout decomposition;
7.
Thin hardmask patterning stacks for the 22-nm node
机译:
适用于22 nm节点的薄硬掩模图案堆叠
作者:
Zhimin Zhu
;
Emil Piscani
;
Yubao Wang
;
Jan Macie
;
Charles J. Neef
;
Brian Smith
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
thin spin-on silicon hardmask;
thin resist;
UV distribution;
foot exposure (FE);
top exposure (TE);
double patterning (DP);
8.
C-Quad polarized illumination for back end thin wire: Moving beyond annular illumination regime
机译:
后端细线的C-Quad偏振照明:超越环形照明范围
作者:
Sohan Singh Mehta
;
Hyung-Rae Lee
;
Bassem Hamieh
;
Chidam Kallingal
;
Itty Matthew
;
Ramya Viswanathan
;
Derren N Dunn
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
C-Quad;
polarization;
process window;
illumination study;
9.
The Divergence of Image and Resist Process Metrics
机译:
图像差异和抵抗过程指标
作者:
John J. Biafore
;
Sanjay Kapasi
;
Stewart A. Robertson
;
Mark D. Smith
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
computational lithography;
optical lithography;
simulation;
photoresist;
10.
Chemically Amplified Resist Modeling in OPC
机译:
OPC中的化学放大抗性建模
作者:
Xin Zheng
;
Jason Huang
;
Fred Kuo
;
Aram Kazarian
;
Fook Chin
;
Yongfa Fan
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
chemically amplified resist (CAR);
OPC;
modeling;
microlithography;
calibration;
11.
High-speed microlithography aerial image contour generation without images
机译:
无需图像的高速微光刻航拍图像轮廓生成
作者:
Lin Szu-kai
;
Charlie Chung Ping Chen
;
Lawrence S. Melvin III
会议名称:
《Optical microlithography XXII》
|
2009年
12.
Pattern Decomposition and Process Integration of Self-Aligned Double Patterning for 30nm Node NAND FLASH Process and Beyond
机译:
30nm节点NAND FLASH工艺及以后的自对准双图案的图形分解和工艺集成
作者:
Yi-Shiang Chang
;
Meng-Feng Tsai
;
Chia-Chi Lin
;
Jun-Cheng Lai
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
30nm half-pitch NAND FLASH;
Self-aligned double patterning (SADP);
data decomposition;
ArF lithography;
13.
Optical performance of laser light source for ArF immersion double patterning lithography tool
机译:
ArF浸没双图案光刻工具的激光光源的光学性能
作者:
Katsuhiko Wakana
;
Hiroaki Tsushima
;
Shinichi Matsumoto
;
Masaya Yoshino
;
Takahito Kumazaki
;
Hidenori Watanabe
;
Takeshi Ohta
;
Satoshi Tanaka
;
Toru Suzuki
;
Hiroaki Nakarai
;
Yasufumi Kawasuji
;
Akihiko Kurosu
;
Takashi Matsunaga
;
Junichi Fujimoto
;
Hakaru Mizoguchi
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
32nm node;
ArF excimer laser;
193nm;
injection lock;
line narrow;
immersion;
double patterning lithography;
spectrum bandwidth;
high power;
optical performance;
CD control;
DOF enhancement;
14.
Immersion-cluster uptime enhancement technology toward high-volume manufacturing
机译:
面向大批量生产的浸入式集群正常运行时间增强技术
作者:
R. Tanaka
;
T. Fujiwara
;
K. Nakano
;
S. Wakamizu
;
H. Kyouda
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
immersion;
uptime;
exposure-equipment;
track;
15.
Enabling High Volume Manufacturing of Double Patterning Immersion Lithography with the XLR 600ix ArF Light Source
机译:
使用XLR 600ix ArF光源实现双图案浸没式光刻的大批量生产
作者:
Slava Rokitski
;
Vladimir Fleurov
;
Robert Bergstedt
;
Hong Ye
;
Robert Rafac
;
Robert Jacques
;
Fedor Trintchouk
;
Toshi Ishihara
;
Rajeskar Rao
;
Theodore Cacouris
;
Daniel Brown
会议名称:
《Optical microlithography XXII》
|
2009年
16.
Analysis of higher order pitch division for sub-32nm lithography
机译:
32纳米以下光刻的高阶间距划分分析
作者:
Peng Xie
;
Bruce W. Smith
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
pitch-division;
double patterning;
triple patterning;
manifold patterning;
17.
Model-Based Retarget for 45nm Node and Beyond
机译:
适用于45nm及以上节点的基于模型的重定向
作者:
Ellyn Yang
;
Cheng He Li
;
Xiao Hui Kang
;
Eric Guo
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
DFM;
OPC;
PW model;
PW aware OPC;
retarget;
18.
0.13μm BiCMOS emitter window lithography with KrF scanners
机译:
采用KrF扫描仪的0.13μmBiCMOS发射极窗口光刻
作者:
Li-Heng Chou
;
Neil S. Patel
;
Patrick M. McCarthy
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
BiCMOS;
emitter window;
Krf;
19.
Patterning of SU-8 Resist with Digital Micromirror Device (DMD) Maskless Lithography
机译:
用数字微镜器件(DMD)无掩模光刻对SU-8抗蚀剂进行构图
作者:
Tao Wang
;
Marzia Quaglio
;
Fabrizio Pirri
;
Yang-Chun Cheng
;
David Busacker
;
Franco Cerrina
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
maskless;
lithography;
SU-8;
microfluidics;
20.
The Analysis of Polarization Characteristics on 40nm Memory Devices
机译:
40nm存储器件的偏振特性分析
作者:
Minae Yoo
;
Chanha Park
;
Taejun You
;
Hyunjo Yang
;
Young-Hong Min
;
Ki-Yeop Park
;
Donggyu Yim
;
Sungki Park
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
source polarization;
TE polarization;
linear polarization;
iso-dense bias;
threshold voltage;
21.
Manufacturability of ILT patterns in low-NA 193nm environment
机译:
低NA 193nm环境中ILT图案的可制造性
作者:
ChinTeong Lim
;
Vlad Temchenko
;
Ingo Meusel
;
Dieter Kaiser
;
Jens Schneider
;
Martin Niehoff
会议名称:
《Optical microlithography XXII》
|
2009年
22.
Lithography Line Productivity Impact Using Cymer GLX? Technology
机译:
使用Cymer GLX的光刻线生产效率的影响?技术
作者:
Kevin OBrien
;
Wayne J. Dunstan
;
Robert Jacques
;
Daniel Brown
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
laser;
availability;
gas;
management;
control;
23.
A CDU Comparison of Double Patterning Process Options Using Monte Carlo Simulation
机译:
使用蒙特卡洛模拟的双图案工艺选项的CDU比较
作者:
Josh Hooge
;
Shinichi Hatakeyama
;
Kathleen Nafus
;
Steven Scheer
;
Philippe Foubert
;
Shaunee Cheng
;
Philippe Leray
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
double patterning;
CDU;
monte carlo simulation;
litho-etch-litho-etch;
spacer;
24.
32 nm Half Pitch Formation with High Numerical Aperture Single Exposure
机译:
具有高数值孔径单次曝光的32 nm半节距形成
作者:
Minhee Jung
;
Joon-Min Park
;
Moonseok Kim
;
Sukjoon Hong
;
Jaisoon Kim
;
In-Ho Park
;
Hye-Keun Oh
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
ArF lithography;
high-index immersion technology;
32 nm half pitch;
1.55 NA;
25.
Image-Assistant OPC Model Calibration on 65nm Node Contact Layer
机译:
在65nm节点接触层上进行图像辅助OPC模型校准
作者:
Y. Y. Tsai
;
S. L. Tsai
;
Fred Lo
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
;
Chih-Yuan Lu
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
OPC;
image-assistant;
CD-based;
CDSEM;
model calibration;
26.
Design Driven Test Patterns for OPC Models Calibration
机译:
用于OPC模型校准的设计驱动测试模式
作者:
Mohamed Al-Imam
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
RET;
OPC;
test patterns;
model coverage;
27.
Novel OPC method to create sub 45nm contact hole using design based metrology
机译:
使用基于设计的计量技术创建低于45nm接触孔的新型OPC方法
作者:
Dong-Jin Lee
;
Se-young Oh
;
Jong-cheon Park
;
Jin-young Choi
;
Jungchan Kim
;
Cheolkyun Kim
;
Donggyu Yim
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
contact hole;
lithography;
optical proximity correction;
slit contact hole;
design based metrology;
DBM;
variable threshold model;
28.
Abbe-PCA (Abbe-Hopkins): Microlithography Aerial Image Analytical Compact Kernel Generation Based on Principle Component Analysis
机译:
Abbe-PCA(Abbe-Hopkins):基于主成分分析的微光刻航空图像分析紧凑型内核生成
作者:
Meng-Fong Tsai
;
Shi-Jei Chang
;
Charlie Chung Ping Chen
;
Lawrence S. Melvin III
会议名称:
《Optical microlithography XXII》
|
2009年
29.
Arbitrary three-dimensional micro-fabrication by polymer grayscale lithography
机译:
聚合物灰度光刻的任意三维微加工
作者:
Li Jiang
;
Pranay Nath
;
N.S. Korivi
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
3-D microstructure;
grayscale lithography;
photomask;
PDMS;
30.
New approach to determine best beam focus
机译:
确定最佳光束聚焦的新方法
作者:
Christian Zuniga
;
Tamer M. Tawfik
会议名称:
《》
|
2009年
关键词:
modeling;
beamfocus;
process window;
optical lithography;
optical proximity correction (OPC);
31.
A proposed image 'intensity' expressing local irradiance
机译:
表示局部辐照度的拟议图像“强度”
作者:
Shuji Nakao
;
Itaru Kanai
;
Shinroku Maejima
;
Mitsuru Okuno
;
Naohisa Tamada
;
Junjiro Sakai
;
Akira Imai
;
Tetsuro Hanawa
;
Kazuyuki Suko
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
image intensity;
local irradiance;
poynting vector;
polarization;
32.
Reliability report of high power injection lock laser light source for double exposure and double patterning ArF immersion lithography
机译:
用于双曝光和双图案ArF浸没光刻的高功率注入锁定激光光源的可靠性报告
作者:
Hiroaki Tsushima
;
Masaya Yoshino
;
Takeshi Ohta
;
Takahito Kumazaki
;
Hidenori Watanabe
;
Shinichi Matsumoto
;
Hiroaki Nakarai
;
Hiroshi Umeda
;
Yasufumi Kawasuji
;
Torn Suzuki
;
Satoshi Tanaka
;
Akihiko Kurosu
;
Takashi Matsunaga
;
Junichi Fujimoto
;
Hakaru Mizoguchi
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
double- exposure;
immersion;
32nm node;
NA1.3;
ArF excimer laser;
injection lock;
high power;
33.
Integration of dry etching steps for Double Patterning and Spacer Patterning processes
机译:
集成了用于双图案和间隔物图案化工艺的干法蚀刻步骤
作者:
S. Barnola
;
C. Lapeyre
;
I. Servin
;
C. Arvet
;
P.Maury
;
L. Mage
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
double patterning;
spacer patterning;
etching;
integration;
CD control;
34.
Pattern matching assisted modeling test pattern generation
机译:
模式匹配辅助建模测试模式生成
作者:
Le Hong
;
Qiao Li
;
Jian Rao
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
modeling;
test pattern;
pattern matching;
model based OPC;
35.
OPC Segmentation: Dilemma between Degree-of-Freedom and Stability with Some Relieves
机译:
OPC细分:自由度和稳定性之间的两难选择
作者:
Y.P. Tang
;
J.H. Feng
;
M.H. Chih
;
C.K. Tsai
;
W.C. Huang
;
C.C. Kuo
;
R.G. Liu
;
H.T. Lin
;
Y.C. Ku
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
OPC;
segment;
dissection;
stability;
36.
Model based mask process correction and verification for advanced process nodes
机译:
用于高级过程节点的基于模型的掩模过程校正和验证
作者:
Timothy Lin
;
Tom Donnelly
;
Steffen Schulze
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
mask modeling;
etch process;
mask process correction;
37.
Automatic SRAF size optimization during OPC
机译:
OPC期间自动优化SRAF大小
作者:
Srividya Jayaram
;
James Word
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
automatic SRAF optimization;
optical proximity correction;
process window;
contact;
holes;
assist feature;
process variability band;
38.
Next Generation Siloxane-Based Bottom Anti-Reflective Coating (BARC) Formulations with Selective Strip Rates and Required Optical Properties
机译:
具有选择性剥离速率和所需光学性能的下一代基于硅氧烷的底部抗反射涂层(BARC)配方
作者:
Sudip Mukhopadhyay
;
Joseph Kennedy
;
Yamini Pandey
;
Preeti Amin
;
Jaswinder Gill
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
inorganic-BARC;
DUO193FS;
dual-BARC;
193-nm;
fast-strip;
R_(sub);
siloxane-polymer;
spin-coating;
39.
32nm Node Device Laser-bandwidth OPE Sensitivity and Process Matching
机译:
32nm节点设备激光带宽OPE灵敏度和工艺匹配
作者:
Kazuyuki Yoshimochi
;
Takao Tamura
;
Takaaki Kuribayashi
;
Takayuki Uchiyama
;
Nigel Farrar
;
Toshihiro Oga
;
James Bonafede
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
Iso-Dense Bias;
laser bandwidth;
E95;
OPE;
SRAf;
40.
Advanced Model and Fast Algorithm for Aerial Image Computation with Well Controlled Accuracy
机译:
精确控制良好的航空图像计算的高级模型和快速算法
作者:
V. Manuylov
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
integrated circuits;
OPC;
lithography modeling;
simulation algorithm;
41.
Alignment and Overlay Improvements for 3x nm and Beyond Process with CVD Sidewall Spacer Double Patterning
机译:
利用CVD侧壁间隔物双图案对3x nm及以上工艺的对准和覆盖层进行了改进
作者:
Huixiong Dai
;
Chris Bencher
;
Yongmei Chen
;
Shiyu Sun
;
Xumon Xu
;
Chris Ngai
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
sidewall spacer;
self-aligned;
double patterning;
core mask;
trim mask;
pad mask;
template;
advanced patterning film (APF?);
alignment;
42.
Process Transfer Strategies between ASML Immersion Scanners
机译:
ASML浸入式扫描仪之间的流程转移策略
作者:
Yuan He
;
Peter Engblom
;
Jianming Zhou
;
Eric Janda
;
Anton Devilliers
;
Bernd Geh
;
Erik Byers
;
Jasper Menger
;
Steve Hansen
;
Mircea Dusa
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
scanner matching;
sensitivity analysis;
photo process matching;
process transfer and optimization;
43.
High Index 193 nm Immersion Lithography: The Beginning or the End of the Road
机译:
高折射率193 nm浸没式光刻技术:道路的起点或终点
作者:
Paul A. Zimmerman
;
Bryan J. Rice
;
Emil C. Piscani
;
Vladimir Liberman
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
immersion lithography;
high refractive index;
high NA imaging;
44.
Analysis and Modeling of Photomask Edge Effects for 3D Geometries and the Effect on Process Window
机译:
3D几何图形的光掩模边缘效应分析和建模以及对工艺窗口的影响
作者:
Marshal A. Miller
;
Andrew R. Neureuther
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
phase-shifting mask;
edge effects;
thin-mask model;
FDTD;
electromagnetic simulation;
focus shift;
topography effects;
45.
Birefringence issues with uniaxial crystals as last lens elements for high-index immersion lithography
机译:
单轴晶体作为高折射率浸没式光刻的最后透镜元件的双折射问题
作者:
John H. Burnett
;
Eric C. Benck
;
Simon G. Kaplan
;
Gabriel Y. Sirat
;
Chris Mack
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
immersion lithography;
high-index materials;
uniaxial crystals;
sapphire;
birefringence;
intrinsic birefringence;
IBR;
spatial-dispersion-induced birefringence;
46.
High-order distortion effects induced by extreme off-axis illumination at hyper NA lithography
机译:
超NA光刻中极轴外照射引起的高阶畸变效应
作者:
Pierluigi Rigolli
;
Gianfranco Capetti
;
Elio De Chiara
;
Leonardo Amato
;
Umberto Iessi
;
Paolo Canestrari
;
Christine Llorens
;
Sanne Smit
;
Lionel Brige
;
Johannes Plauth
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
overlay;
lens aberrations;
ImageTuner?;
GridMapper?;
dipole-illumination;
LithoCruiser?;
LithoGuide?;
lithometric;
HighOrderProcessCorrection;
CorrectionPerExposure;
47.
Line end shortening and corner rounding for novel off-axis illumination source shapes
机译:
线端缩短和圆角修整,用于新颖的离轴照明光源形状
作者:
Moh Lung Ling
;
Gek Soon Chua
;
Qunying Lin
;
Cho Jui Tay
;
Chenggen Quan
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
off-axis illumination;
line end shortening;
corner rounding;
double dipole;
double quadrupole;
48.
Modeling mask scattered field at oblique incidence
机译:
倾斜入射时遮罩散射场建模
作者:
Tamer M. Tawfik
;
Ahmed Hisham Morshed
;
Diaa Khalil
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
diffraction;
oblique incidence;
hyper-NA;
immersion lithography;
modeling;
physical theory of diffraction;
49.
Inverse vs. traditional OPC for the 22nm node
机译:
22nm节点的反向OPC与传统OPC
作者:
James Word
;
Yuri Granik
;
Marina Medvedeva
;
Sergei Rodin
;
Luigi Capodieci
;
Yunfei Deng
;
Jongwook Kye
;
Cyrus Tabery
;
Kenji Yoshimoto
;
Yi Zou
;
Hesham Diab
;
Mohamed Gheith
;
Mohamed Habib
;
Cynthia Zhu
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
OPC;
inverse lithography;
RET;
22nm;
50.
Innovative Pixel-Inversion Calculation for Model-Based Sub-Resolution Assist Features and Optical Proximity Correction
机译:
基于模型的子分辨率辅助功能和光学接近度校正的创新像素反转计算
作者:
Jue-Chin Yu
;
Peichen Yu
;
Hsueh-Yung Chao
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
optical proximity correction;
inversion calculation;
sub-resolution assist features;
convergence;
51.
Source Optimization for Three-Dimensional Image Designs Through Film Stacks
机译:
通过胶片叠的三维图像设计的源优化
作者:
David O. S. Melville
;
Alan E. Rosenbluth
;
Kehan Tian
;
Dario Goldfarb
;
Stefan Harrer
;
Matthew Colburn
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
SMO;
Source Optimization;
Dual Layer resist;
three-dimensional;
off-axis illumination;
reticle enhancement technology;
optical proximity correction;
global optimization;
linear program;
RET;
OPC;
52.
Birefringence Simulations of Annealed Ingot of Calcium Fluoride Single Crystal (Consideration of Creep Behavior of Ingot during Annealing Process)
机译:
氟化钙单晶退火锭的双折射模拟(考虑退火过程中锭的蠕变行为)
作者:
Noriyuki Miyazaki
;
Hirotaka Ogino
;
Yuta Kitamura
;
Toshiro Mabuchi
;
Teruhiko Nawata
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
birefringence;
optical path difference;
residual stress;
creep;
annealing;
calcium fluoride;
53.
Cost-effective shrink of semi-critical layers using the TWINSCAN XT:1000H NA 0.93 KrF scanner
机译:
使用TWINSCAN XT:1000H NA 0.93 KrF扫描仪经济高效地收缩半临界层
作者:
Frank Bornebroek
;
Marten de Wit
;
Wim de Boeij
;
Gerald Dicker
;
Jongkynn Hong
;
Alexander Serebryakov
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
KrF lithography;
high NA;
metal;
via;
implant;
process transfer;
proximity matching;
matched overlay;
54.
True polarization characteristics of hyper-NA optics excluding impact of measurement system
机译:
超NA光学器件的真实偏振特性,不包括测量系统的影响
作者:
Toru Fujii
;
Ken-ichi Muramatsu
;
Noriyuki Matsuo
;
Yasuhiro Ohmura
;
Masayasu Sawada
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
polarization aberration;
immersion lithographic optics;
measurement optics;
Jones N-matrix;
55.
Multiple Layer CD Control Treatment
机译:
多层CD控制处理
作者:
Anka Birnstein
;
Christoph Roepke
;
Martin Sczyrba
;
Rainer Pforr
;
Mario Hennig
;
Guy Ben-Zvi
;
Erez Graitzer
;
Avi Cohen
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
CD uniformity;
CDC?;
CD;
AIMS?;
CDC Ratio;
56.
Exploration of Linear and Non-Linear Double Exposure Techniques by Simulation
机译:
通过仿真探索线性和非线性双重曝光技术
作者:
John S. Petersen
;
Robert T. Greenway
;
Tim Fuehner
;
Peter Evanschitzky
;
Feng Shao
;
Andreas Erdmann
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
double exposure;
double patterning;
interference-assisted lithography;
non-linear superposition;
simulation study;
57.
PSM design for inverse lithography with partially coherent illumination
机译:
具有部分相干照明的反光刻PSM设计
作者:
Xu Ma
;
Gonzalo R. Arce
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
partially coherent imaging;
phase-shifting mask;
SVD;
DCT;
optical lithography;
58.
22 nm technology node active layer patterning for planar transistor devices
机译:
平面晶体管器件的22 nm技术节点有源层构图
作者:
Ryoung-han Kim
;
Steven Holmes
;
Scott Halle
;
Vito Dai
;
Jason Meiring
;
Aasutosh Dave
;
Matthew E. Colburn
;
Harry J. Levinson
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
22 nm technology;
RET;
DFM;
SRAM;
corner rounding;
59.
Smart Data Filtering for Enhancement of Model Accuracy
机译:
智能数据过滤可提高模型精度
作者:
Shady Abdelwahed
;
Jae Hyun Kang
;
Jaeyoung Choi
;
Jong Doo Kim
;
Hyesung Lee
;
Sungho Jun
;
Youngmi Kim
会议名称:
《Optical microlithography XXII》
|
2009年
关键词:
OPC;
process errors;
model stability;
calibration test structures;
意见反馈
回到顶部
回到首页