掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on extreme ultraviolet (EUV) lithography IV
Conference on extreme ultraviolet (EUV) lithography IV
召开年:
2013
召开地:
San Jose, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Study of LWR Reduction and Pattern Collapse Suppression for 16-nm Node EUV Resists
机译:
16nm节点EUV抗蚀剂的LWR减小和图案塌陷抑制研究
作者:
Eishi Shiobara
;
Yukiko Kikuchi
;
Toshiro Itani
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV;
Resist;
LWR;
Pattern Collapse;
Rinse;
Surfactant;
2.
Simulation-assisted layout biasing in EUV lithography and prediction of an optimum resist parameter space
机译:
EUV光刻中的仿真辅助布局偏置以及最佳抗蚀剂参数空间的预测
作者:
Chandra Sarma
;
John Biafore
;
Kyoungyong Cho
;
Karen Petrillo
;
Mark Neisser
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Resist modeling;
Contact Hole Bias;
Local CD Uniformity;
Photon Shot Noise;
EUV Lithography;
3.
Deep Ultraviolet Out-of-Band Characterization of EUVL Scanners and Resists
机译:
EUVL扫描仪的深紫外带外表征和抗性
作者:
Gian F. Lorusso
;
Tasuku Matsumiya
;
Jun Iwashita
;
Taku Hirayama
;
Eric Hendrickx
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV Lithography;
Out-of-Band;
EUV;
4.
Heat behavior of extreme-ultraviolet pellicle including mesh support
机译:
包括网状支撑的极紫外防护薄膜的热性能
作者:
In-Seon Kim
;
Eun-Jin Kim
;
Ji-Won Kim
;
Hye-Keun Oh
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
5.
Homogeneity improvement of TiO_2-SiO_2 glass synthesized by the soot method and its evaluation using the ultrasonic measurement system
机译:
烟灰法合成的TiO_2-SiO_2玻璃的均质性改进及其超声测量系统的评价
作者:
Masahiro Kawagishi
;
Junko Konishi
;
Masaaki Takata
;
Mototaka Arakawa
;
Yuji Ohashi
;
Jun-ichi Kushibiki
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUVL;
TiO_2-SiO_2 glass;
soot method;
homogeneity;
optical material;
striae;
line-focus-beam ultrasonic material characterization system;
leaky surface acoustic waves;
6.
Propagation of surface topography of EUV blank substrate through multilayer and impact of phase defect structure on wafer image
机译:
EUV空白衬底的表面形貌通过多层的传播以及相缺陷结构对晶片图像的影响
作者:
Tsuyoshi Amano
;
Tsuneo Terasawa
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV;
phase defect;
defect mitigation;
compensation repair;
7.
Resist outgassing characterization based on the resist compositions and process
机译:
基于抗蚀剂成分和工艺的抗蚀剂除气特性
作者:
Norihiko Sugie
;
Toshiya Takahashi
;
Kazuhiro Katayama
;
Isamu Takagi
;
Yukiko Kikuchi
;
Hiroyuki Tanaka
;
Eishi Shiobara
;
Soichi Inoue
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV;
outgassing;
contamination;
resist qualification;
witness sample;
8.
Patterning at 6.5 nm Wavelength Using Interference Lithography
机译:
使用干涉光刻技术在6.5 nm波长处构图
作者:
Nassir Mojarad
;
Michaela Vockenhuber
;
Li Wang
;
Bernd Terhalle
;
Yasin Ekinci
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Extreme Ultraviolet Lithography;
Beyond Extreme Ultraviolet Lithography;
EUV;
BEUV;
6.x nm lithography;
HSQ;
organic resist;
inorganic resist;
interference lithography;
soft X-ray;
22 nm HP;
chemically-amplified resist;
9.
Effect of phase defect shape on ABI signal intensity and defect image intensity on wafer with simulation
机译:
相位缺陷形状对晶片上ABI信号强度和缺陷图像强度的影响
作者:
Noriaki Takagi
;
Tsuneo Teresawa
;
Yukiyasu Arisawa
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV-mask;
Actinic Blank inspection;
ABI;
Simulation;
Phase defect shape;
Phase defect;
Multilayer;
10.
Low Thermal Expansion Material (LTEM) Cleaning and Optimization for Extreme Ultraviolet (EUV) Blank Deposition
机译:
低热膨胀材料(LTEM)清洁和优化用于极端紫外线(EUV)毛坯沉积
作者:
Arun JohnKadaksham
;
Ranganath Teki
;
Milton Godwin
;
Matt House
;
Frank Goodwin
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV Mask blank defect;
EUV Lithography;
Low thermal expansion material;
11.
Mathematical model for calculating speckle contrast through focus
机译:
通过焦点计算散斑对比度的数学模型
作者:
Rene A. Claus
;
Andrew R. Neureuther
;
Patrick P. Naulleau
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
extreme ultraviolet;
lithography;
mask roughness;
speckle;
thin mask;
phase roughness;
12.
Roughness and Variability in EUV Lithography: Who is to Blame? (Part 1)
机译:
EUV平版印刷术中的粗糙度和可变性:谁应受到谴责? (第1部分)
作者:
Alessandro Vaglio Pret
;
Roel Gronheid
;
Todd R. Younkin
;
Gustaf Winroth
;
John J. Biafore
;
Yusuke Anno
;
Kenji Hoshiko
;
Vassilios Constantoudis
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV Lithography;
Local Contact-Hole Uniformity;
Shot Noise;
13.
Investigation of Coat-develop Track System for EUV Resist Processing
机译:
EUV抗蚀剂加工的涂层开发跟踪系统研究
作者:
Masahiko Harumoto
;
Osamu Tamada
;
Tadashi Miyagi
;
Koji Kaneyama
;
Akihiko Morita
;
Charles Pieczulewski
;
Masaya Asai
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
extreme ultraviolet lithography;
coat-develop track system;
14.
Hartmann wavefront sensor for EUV radiation
机译:
Hartmann波前传感器,用于EUV辐射
作者:
K. Mann
;
B. Floeter
;
T. Mey
;
B. Schaefer
;
B. Keitel
;
E. Ploenjes
;
K. Tiedtke
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Wavefront;
Hartmann sensor;
extreme UV;
free-electron laser;
EUVL optics;
15.
Development of 3D Monte Carlo simulations for predicting multilayer geometry of pit-type EUV defects
机译:
开发3D蒙特卡洛模拟以预测凹坑型EUV缺陷的多层几何形状
作者:
Robert F. Spivey
;
Ranganath Teki
;
T.-M. Lu
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Monte Carlo;
simulation;
morphology;
geometry;
lithography;
EUV;
defect;
pit-type;
multilayer;
optical coating;
16.
Study on EUV mask defect inspection with hp 16 nm node using simulated projection electron microscope images
机译:
用模拟投影电子显微镜图像研究hp 16 nm节点的EUV掩模缺陷检查
作者:
Susumu Iida
;
Tsuyoshi Amano
;
Ryoichi Hirano
;
Tsuneo Terasawa
;
Hidehiro Watanabe
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV mask;
defect detection;
pattern inspection;
Monte Carlo simulation;
16 nm;
projection electron microscope;
17.
Patterning Challenges of EUV Lithography for 1X-nm Node DRAM and Beyond
机译:
1V-nm节点DRAM的EUV光刻构图挑战及以后
作者:
Tae-Seung Eom
;
Hong-Ik Kim
;
Choon-Ky Kang
;
Yoon-Jung Ryu
;
Seung-Hyun Hwang
;
Ho-Hyuk Lee
;
Hee-Youl Lim
;
Jeong-Su Park
;
Noh-Jung Kwak
;
Sungki Park
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV;
DRAM;
Shadowing;
Flare;
Overlay;
18.
Optics for ASML's NXE:3300B platform
机译:
ASML的NXE:3300B平台的光学元件
作者:
Martin Lowisch
;
Peter Kuerz
;
Olaf Conradi
;
Gero Wittich
;
Wolfgang Seitz
;
Winfried Kaiser
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV optics;
wavefront;
illumination system;
flare;
19.
Evaluation of Novel Projection Electron Microscopy (PEM) optics for EU V mask inspection
机译:
评估用于EU V掩模检查的新型投影电子显微镜(PEM)光学器件
作者:
Ryoichi Hirano
;
Susumu Iida
;
Tsuyoshi Amano
;
Tsuneo Terasawa
;
Hidehiro Watanabe
;
Kenji Terao
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Mask;
Defects;
Inspection;
Lithography;
EUVL;
EUV;
Electron;
projection;
20.
Quencher distribution engineering for out-of-band insensitive EUV resists: experiments and stochastic simulation
机译:
带外不敏感EUV抗蚀剂的Quencher配电工程:实验和随机模拟
作者:
Shang-Chieh Chien
;
Shu-Hao Chang
;
Jui-Ching Wu
;
Jack J.-H. Chen
;
Anthony Yen
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV lithography;
Out-of-band (OoB);
line-width roughness (LWR);
stochastic model;
21.
Silica Aerogel Can Capture Flying Particles in EUV Tools
机译:
二氧化硅气凝胶可以捕获EUV工具中的飞散颗粒
作者:
Kazuya Ota
;
Jiro Inoue
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUVL;
particle;
reticle protection;
aerogel;
22.
The need for EUV lithography at advanced technology for sustainable wafer cost
机译:
需要采用先进技术进行EUV光刻以保持可持续的晶片成本
作者:
Arindam Mallik
;
Wim Vansumere
;
Julien Ryckaert
;
Abdelkarim Mercha
;
Naoto Horiguchi
;
Steven Demuynck
;
Juergen Boemmels
;
Tokei Zsolt
;
Geert Vandenberghe
;
Kurt Ronse
;
Aaron Thean
;
Diederik Verkest
;
Hans Lebon
;
An Steegen
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Extreme Ultra-Violet Lithography (EUVL);
wafer cost;
advanced semiconductor technology;
23.
Inspection and compositional analysis of sub-20 nm EUV mask blank defects by thin film decoration technique
机译:
薄膜装饰技术对20 nm以下EUV掩模空白缺陷的检查和成分分析
作者:
V. Jindal
;
A. John
;
J. Harris-Jones
;
P. Kearney
;
A. Antohe
;
E. Stinzianni
;
F. Goodwin
;
T. Onoue
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV Mask Defect Reduction;
EUV Lithography;
EUV mask blank defect failure analysis;
defect characterization;
24.
Simulation Analysis of LER and Dose Tradeoffs for EUV Resists with Photo-Decomposable Quenchers
机译:
具有光分解猝灭器的EUV抵抗剂的LER和剂量折衷的仿真分析
作者:
Suchit Bhattarai
;
Andrew R. Neureuther
;
Patrick P. Naulleau
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Photo-Decomposable Quenchers (PDQs);
RLS tradeoffs;
EUV Resists;
25.
Impact of EUV mask roughness on lithography performance
机译:
EUV掩模粗糙度对光刻性能的影响
作者:
Yukiyasu Arisawa
;
Tsuneo Terasawa
;
Hidehiro Watanabe
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV;
mask;
surface roughness;
PSD;
Monte Carlo simulation;
26.
The study of novel PAG containing acid amplifier in EUV resist material
机译:
EUV抗蚀剂材料中新型PAG含酸放大器的研究
作者:
Hyun Sang Joo
;
Jin Ho Kim
;
Joon Hee Han
;
Chang Wan Bae
;
Jin Bong Shin
;
Hyun Soon Lim
;
Seung Duk Cho
;
Sam Min Kim
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV resist material;
polymer bound PAG;
LWR;
27.
Effects of varying the parameters in witness-sample-based photoresist outgas testing: dependence of the carbon growth on pumping speed and the dose, time, and area of resist exposure
机译:
在基于见证样品的光致抗蚀剂脱气测试中改变参数的影响:碳生长对抽速以及剂量,时间和抗蚀剂暴露面积的依赖性
作者:
C. Tarrio
;
R. F. Berg
;
S. B. Hill
;
S. Grantham
;
N.S. Faradzhev
;
T. B. Lucatorto
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Photoresist;
contamination;
optics lifetime;
outgassing;
EUV;
28.
Collector Optic In-Situ Sn Removal Using Hydrogen Plasma
机译:
利用氢等离子体去除集电极光学原位锡
作者:
John R. Sporre
;
Dan Elg
;
David N. Ruzic
;
Shailendra N. Srivastava
;
Igor V. Fomenkov
;
David C. Brandt
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
hydrogen;
plasma;
Sn cleaning;
EUV;
collector;
contamination;
29.
Modeling studies on alternative EUV mask concepts for higher NA
机译:
用于更高NA的替代EUV掩模概念的建模研究
作者:
Andreas Erdmann
;
Tim Fuehner
;
Peter Evanschitzky
;
Jens Timo Neumann
;
Johannes Ruoff
;
Paul Graeupner
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV lithography;
computational lithography;
EUV masks;
phase shift masks;
telecentricity error;
multiobjective optimization;
30.
Status of EUV Reflectometry at PTB
机译:
ETB反射仪在PTB的状况
作者:
Christian Laubis
;
Annett Barboutis
;
Martin Biel
;
Christian Buchholz
;
Benjamin Dubrau
;
Andreas Fischer
;
Anne Hesse
;
Jana Puls
;
Christian Stadelhoff
;
Victor Soltwisch
;
Frank Scholze
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV;
at-wavelength;
reflectometry;
radiometry;
metrology;
31.
7nm node EUV predictive study of mask LER transference to wafer
机译:
7nm节点EUV掩膜LER向晶圆迁移的预测研究
作者:
D. Civay
;
E. Nash
;
U. Klostermann
;
T. Wallow
;
P. Mangat
;
H. P. Koh
;
P. Brooker
;
J. Siebert
;
H. J. Levinson
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Mask LER;
line edge roughness;
CD variance;
correlation length;
EUV;
7nm node;
32.
Optimizing XPS Tool Performance for Characterizing Trace Contamination Elements for EUV Resist Outgas Testing
机译:
优化XPS工具性能以表征EUV耐除气测试中的痕量污染元素
作者:
Mihir Upadhyaya
;
Yudhishthir Kandel
;
Gregory Denbeaux
;
Cecilia Montgomery
;
Yu-Jen Fan
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
33.
Effect of cleaning on EUV masks
机译:
清洁对EUV口罩的影响
作者:
Jeffrey W. Roberts
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV lithography;
scatterometry;
OCD;
thin film metrology;
photomasks;
34.
A reverse design method for EUV lithography illumination system
机译:
EUV光刻照明系统的逆向设计方法
作者:
Qiuli Mei
;
Yanqiu Li
;
Fei Liu
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV lithography;
illumination system;
relay system;
fly's eye;
optical design;
35.
Towards Manufacturing a 10nm Node Device with Complementary EUV Lithography
机译:
借助互补EUV光刻技术制造10nm节点器件
作者:
Jan V. Hermans
;
Huixiong Dai
;
Ardavan Niroomand
;
David Laidler
;
Ming Mao
;
Yongmei Chen
;
Philippe Leray
;
Chris Ngai
;
Shaunee Cheng
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV;
CD uniformity;
black border;
shot noise;
overlay;
on-product;
SADP;
36.
Magnetic Mitigation of Debris for EUV Sources
机译:
电磁缓解EUV源的碎片
作者:
D. Elg
;
J. Sporre
;
D. Curreli
;
D.N. Ruzic
;
K.R. Umstadter
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
collector;
optic;
magnetic mitigation;
debris;
37.
ASML's NXE platform performance and volume introduction
机译:
ASML的NXE平台性能和批量介绍
作者:
Rudy Peeters
;
Sjoerd Lok
;
Erwin van Alphen
;
Noreen Harned
;
Peter Kuerz
;
Martin Lowisch
;
Henk Meijer
;
David Ockwell
;
Eelco van Setten
;
Guido Schiffelers
;
Jan-Willem van der Horst
;
Judon Stoeldraijer
;
Robert Kazinczi
;
Richard Droste
;
Hans Meiling
;
Ron Kool
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV lithography;
system performance;
sources;
resist images;
devices;
high volume manufacturing;
38.
Through-focus EUV multilayer defect repair with nanomachining
机译:
通过纳米加工的全焦点EUV多层缺陷修复
作者:
Gregory McIntyre
;
Emily Gallagher
;
Tod Robinson
;
Adam C. Smith
;
Mark Lawliss
;
Jeffrey LeClaire
;
Ron Bozak
;
Roy White
;
Michael Archuletta
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV multilayer defect repair;
nanomachining;
phase defects;
bump and pit defects;
amplitude and phase effects;
multilayer removal;
material deposition;
Bossung curves;
through-focus asymmetry;
39.
Enhancing resolution with pupil filtering for projection printing systems with fixed or restricted illumination angular distribution
机译:
通过固定或受限照明角度分布的投影打印系统,通过光瞳过滤提高分辨率
作者:
Greg McIntyre
;
Leon Teeuwen
;
Erik Sohmen
;
Obert Wood
;
Daniel Corliss
;
Theo van den Akker
;
Sander Bouten
;
Eelco van Setten
;
Oleg Voznyi
;
Sang-In Han
;
Hermann Bieg
;
Martin Burkhardt
;
Karen Petrillo
;
Zhengqing John Qi
;
Alexander Friz
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
pupil filter;
resolution enhancement;
frequency doubling;
illumination pupil-fill;
EUV;
40.
Track processing optimizations for different EUV resist platforms: preparing for a NXE:3300 baseline process
机译:
跟踪针对不同EUV抗蚀剂平台的处理优化:为NXE:3300基准流程做准备
作者:
Philippe Foubert
;
Koichi Matsunaga
;
Hideo Shite
;
Takeshi Shimoaoki
;
Kathleen Nafus
;
Anne-Marie Goethals
;
Dieter Van Den Heuvel
;
Jan Hermans
;
Eric Hendrickx
;
Hitoshi Kosugi
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV;
process;
CD;
Defectivity;
LWR;
Lines;
Contact Holes;
41.
Evaluating the effect of EUV multilayer buried defects on feature printability using a stochastic resist model
机译:
使用随机抗蚀剂模型评估EUV多层掩埋缺陷对特征可印刷性的影响
作者:
Trey Graves
;
John Biafore
;
Mark Smith
;
Stewart Robertson
;
Chao Fang
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Lithography simulation;
EUV mask defects;
OPC;
stochastic resist modeling;
PROLITH;
42.
Laser Produced Plasma EUV Light Source for EUVL Patterning at 20nm Node and Beyond
机译:
激光产生的等离子EUV光源,用于20nm节点及更高波长处的EUVL图案化
作者:
Igor V. Fomenkov
;
David C. Brandt
;
Nigel R. Farrar
;
Bruno La Fontaine
;
Norbert R. Boewering
;
Daniel J. Brown
;
Alex I. Ershov
;
David W. Myers
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV source;
EUV lithography;
laser-produced plasma;
collector;
droplet generator;
43.
Limitation of OAI + AttPSM in EUVL
机译:
EUVL中OAI + AttPSM的局限性
作者:
Shinn-Sheng Yu
;
Yen-Cheng Lu
;
Chih-Tsung Shin
;
Jack J. H. Chen
;
Anthony Yen
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
extreme-ultraviolet lithography;
EUVL;
off-axis illumination;
OAI;
attenuated phase-shifting mask;
AttPSM;
resist blur;
44.
CO_2 / Sn LPP EUV Sources for device development and HVM
机译:
用于设备开发和HVM的CO_2 / Sn LPP EUV源
作者:
David C. Brandt
;
Igor V. Fomenkov
;
Nigel R. Farrar
;
Bruno La Fontaine
;
David W. Myers
;
Daniel J. Brown
;
Alex I. Ershov
;
Richard L. Sandstrom
;
Georgiy O. Vaschenko
;
Norbert R. Boewering
;
Palash Das
;
Vladimir B. Fleurov
;
Kevin Zhang
;
Shailendra N. Srivastava
;
Imtiaz Ahmad
;
Chirag Rajyaguru
;
Silvia De Dea
;
Wayne J. Dunstan
;
Peter Baumgart
;
Toshi Ishihara
;
Rod D. Simmons
;
Robert N. Jacques
;
Robert A. Bergstedt
;
Peter I. Porshnev
;
Christopher J. Wittak
;
Robert J. Rafac
;
Jonathan Grava
;
Alexander A. Schafgans
;
Yezheng Tao
;
Kay Hoffmann
;
Tedsuja Ishikawa
;
David R. Evans
;
Spencer D. Rich
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV source;
EUV lithography;
Laser Produced Plasma;
Collector;
Droplet Generator;
45.
Registration accuracy improvement of Fiducial Mark on EUVL Mask with MIRAI EUV ABI prototype
机译:
使用MIRAI EUV ABI原型提高EUVL面罩上基准标记的套准精度
作者:
Tetsunori Murachi
;
Tsuyoshi Amano
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Extreme ultra-violet lithography;
EUVL mask blanks;
multi-layers;
fiducial mark;
phase defects;
defect mitigation;
EUV actinic blank inspection tool;
defect location accuracy;
46.
Experimental verification of EUV mask limitations at high numerical apertures
机译:
高数值孔径下EUV掩模限制的实验验证
作者:
Rikon Chao
;
Paul Graeupner
;
Eric Gullikson
;
Seong-Sue Kim
;
Jens-Timo Neumann
;
Ryan Miyakawa
;
Hwan-Seok Seo
;
Andy Neureuther
;
Patrick Naulleau
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Angular bandwidth;
Extreme ultraviolet lithography;
Modeling;
Multilayers;
Reflectivity;
47.
Stochastic Resist Patterning Simulation using Attenuated PSM for EUV Lithography
机译:
使用减震PSM进行EUV光刻的随机抗蚀剂图案模拟
作者:
Seongchul Hong
;
Seejun Jeong
;
Jae Uk Lee
;
Seung Min Lee
;
Jongseok Kim
;
Jonggul Doh
;
Jinho Ahn
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV;
PSM;
lithography;
mask;
resist;
patterning;
stochastic;
simulation;
48.
Reaction mechanisms of various chemically-amplified EUV and EB resist
机译:
各种化学放大的EUV和EB抗蚀剂的反应机理
作者:
Satoshi Enomoto
;
Akihiro Oshima
;
Seiichi Tagawa
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Chemically-amplified resists;
Reactive mechanism;
EUV;
EB;
PHS;
decomposition;
cross-linking;
main chain scission;
PHS;
Hybrid;
Methacrylate;
49.
Development of an Atomic Hydrogen System for Treatment of EUV Mask Blanks
机译:
开发用于处理EUV面罩毛坯的原子氢系统
作者:
Tyler R. Mowll
;
Arun J. Kadaksham
;
Zachary R. Robinson
;
Sarah Mead
;
Carl A. Ventrice Jr.
;
Frank Goodwin
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV optics;
atomic hydrogen;
ruthenium oxide;
50.
Prediction of Resist Sensitivity for 13.5 nm EUV and 6.x nm EUV extension from Sensitivity for EBL
机译:
从EBL的灵敏度预测13.5 nm EUV和6.x nm EUV扩展的电阻灵敏度
作者:
Tomoko G. Oyama
;
Akihiro Oshima
;
Dang Tuan Nguyen
;
Satoshi Enomoto
;
Masakazu Washio
;
Seiichi Tagawa
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUVL;
13.5 nm;
6.x nm;
EBL;
resist sensitivity;
CA;
non-CAR;
51.
Important processes in modeling and optimization of EUV lithography sources
机译:
EUV光刻源建模和优化中的重要过程
作者:
T. Sizyuk
;
A. Hassanein
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
EUV;
LPP;
HEIGHTS;
CO_2 laser;
mass-limited target;
debris mitigation;
52.
Relation between sensitivity and resolution in polymer bound PAGs and polymer blend PAGs
机译:
聚合物结合的PAG和聚合物共混PAG的灵敏度和分辨率之间的关系
作者:
Satoshi Enomoto
;
DANG Nguyen Tuan
;
Cong Que Dinh
;
Seiichi Tagawa
会议名称:
《Conference on extreme ultraviolet (EUV) lithography IV》
|
2013年
关键词:
Polymer-bound PAGs;
polymer-blend PAGs Acid generation efficiency;
EUV;
EB;
Patterning;
意见反馈
回到顶部
回到首页