掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
轻工业技术
>
Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US
Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
粮油科技
河北科技大学学报
现代生活用品
包装财智
中国纺织(英文版)
毛麻科技信息
中国制衣
江苏纺织
中国化妆品(行业版)
食品科学
更多>>
相关外文期刊
Home textiles today
In-Plant Graphics
Food production management
Knitting international
Textile world
加工技術
Kentucky beverage journal
Allgemeiner Vliesstoff-Report
The Journal of the American Leather Chemists Association
British Food Journal
更多>>
相关中文会议
2010国际造纸技术报告会
“常纺院杯”全国织造新产品开发学术研讨会暨2012织造年会
开源首届全国印染行业管理创新年会
湖北省2012纸浆造纸新技术研讨会暨湖北省造纸协会、学会2012年年会
第二届中国国际棉纺织大会
2000年全国首届高压食品暨超高压技术应用研讨会
2008年全国氨基酸技术交流研讨会
第五届中国国际棉纺织会议
2002年全国铝箔技术与营销研讨会
第十一届上海国际非织造布研讨会(SINCE'05)
更多>>
相关外文会议
The Symposium on Freshness and Shelf Life of Foods Aug 26-31, 2002 Chicago, Illinois
第11回品質工学技術戦略研究発表大会(RQES2018A)予稿集: あらゆる分野に評価でイノベーションを -技術マネジメントに品質工学を-
International Society of Sugar Cane Technologists Congress vol.2; 20050130-0204; Guatemala City(GT)
Frontiers in Sugar Processing
TAPPI(Technical Association of the Pulp and Paper Industry) Engineering, Pulping & Environmental Conference; 20061105-08; Atlanta,GA(US)
American Chemical Society(ACS) National Meeting; 20020818-20020822; Boston,MA; US
Proceedings of the joint international symposium on wood composites & veneer processing and products.
Advanced sciencesin graphic communications and packaging
1997 TAPPI newsprint forum
Pulping engineering and environmental recycling sustainability conference: technical solutions for today and beyond
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Fulfillment of model-based OPC for contact patterns in 60 nm level logic device
机译:
实现了基于模型的OPC,用于60 nm级逻辑器件中的接触模式
作者:
Sang-Wook Kim
;
Sung-Woo Lee
;
Chang-Min Park
;
Soo-Han Choi
;
Young-Mi Lee
;
Yool Kang
;
Gi-Sung Yeo
;
Jung-Hyeon Lee
;
Han-Ku Cho
;
Woo-Sung Han
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
OPC;
contact;
model;
OCV;
ACLV;
OAI;
2.
Phenomena and OPC Solution of Ripple Patterns for 65nm Node
机译:
65nm节点纹波现象与OPC解决方案
作者:
C.M. Lai
;
J.S. Ho
;
C.W. Lai
;
C.K. Tsai
;
C.S. Tsay
;
J.H. Chen
;
R.G. Liu
;
Y.C. Ku
;
Burn J. Lin
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
ripple patterns;
65nm generation;
OPC;
side-lobe;
3.
Optical Metrology for 193nm Immersion Objective Characterization
机译:
193nm浸没式物镜表征的光学计量
作者:
David Aronstein
;
Julie Bentley
;
Paul Dewa
;
Mike Dunn
;
Horst Schreiber
;
Thanh Nguyen
;
James Webb
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
immersion imaging;
interferometry;
DUV microlithography;
4.
Optical lithography with 157-nm technology
机译:
157纳米技术的光学光刻
作者:
T. Modderman
;
H. Jasper
;
H. Boom
;
T. Uitterdijk
;
S. Dana
;
H. Sewell
;
T. ONeil
;
J. Mulkens
;
M. Brunotte
;
B. Mecking
;
T. Gruner
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
microlithography;
157 nm;
contamination;
pellicle;
litho performance;
reticle cleaning;
5.
New advanced lithography tools with mix-and-match strategy
机译:
具有混搭策略的新型先进光刻工具
作者:
Jun Ishikawa
;
Masahiro Nei
;
Masato Hamatani
;
Shinji Wakamoto
;
Toshikazu Umatate
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
nikon;
mix-and-match;
lithography tools;
NSR-S307E ArF scanner;
NSR-S207D KrF scanner;
NSR-SF200 KrF stepper;
6.
Neural Network based approach to resist modeling and OPC
机译:
基于神经网络的抗建模和OPC方法
作者:
Franz Zach
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
neural network;
OPC aerial image;
resist modeling;
7.
Novel methodology for photo condition optimization through simulation
机译:
通过仿真优化照片条件的新方法
作者:
Irene Yi-Ju Su
;
Rachel Huang
;
Tahone Yang
;
Kevin Tu
;
Smith Peng
;
Chih-Yuan Lu
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
simulation;
optimization;
statistics;
orthogonal latin hypercube sampling;
8.
Nikon Projection Lens Update
机译:
尼康投影镜头更新
作者:
Tomoyuki Matsuyama
;
Toshiro Ishiyama
;
Yasuhiro Ohmura
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
low-k_1 lithography;
ArF projection lens;
high NA;
aberration;
flare;
linear polarized illumination;
9.
Minimizing Critical Layer Systematic Alignment Errors During Non-Dedicated Processing
机译:
在非专用处理期间最大程度地减少关键层系统对准误差
作者:
Igor Jekauc
;
Bill Roberts
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
aberrations;
dedicated processing;
AFOV;
cycle time;
10.
MEV as a new constraint for lithographers in the sub 100nm regime
机译:
MEV作为低于100nm制程的光刻机的新限制
作者:
Yorick Trouiller
;
Sergei Postnikov
;
Kevin Lucas
;
Franck Sundermann
;
Kyle Patterson
;
Jerome Belledent
;
Christophe Couderc
;
Yves Rody
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
MEEF;
MEV;
CD control;
lithography;
11.
Model-Based Prediction of Full-Chip SRAF Printability
机译:
基于模型的全芯片SRAF可印刷性预测
作者:
James Word
;
Suihua Zhu
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
assist feature;
scatter bar;
SRAF;
OPC;
ORC;
12.
Layer-specific illumination for low kl periodic and semi-periodic DRAM cell patterns: design procedure and application
机译:
低kl周期性和半周期性DRAM单元模式的特定层照明:设计过程和应用
作者:
Chan Hwang
;
Dong-Seok Nam
;
Jin-Hong Park
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Woo-Sung Han
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
layer specific illumination design;
resolution enhancement technique (RET);
illumination optimization;
photolithography simulation;
off-axis illumination (OAI);
13.
Mask induced polarization
机译:
掩模引起的极化
作者:
Andrew Estroff
;
Yongfa Fan
;
Anatoly Bourov
;
Frank Cropanese
;
Neal Lafferty
;
Lena Zavyalova
;
Bruce Smith
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
photomask;
polarization;
immersion lithography;
high NA;
wire-grid polarizer;
14.
Impact of Measured Pupil Illumination Fill Distribution on Lithography Simulation and OPC Models
机译:
测量的瞳孔照明填充分布对光刻模拟和OPC模型的影响
作者:
Christof Bodendorf
;
Ralph E. Schlief
;
Ralf Ziebold
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
optical lithography simulation;
pupil fill measurement;
measured light source distribution;
low k_1 lithography;
optical proximity correction;
15.
Immersion Lithography Micro-Objectives
机译:
浸没式光刻微物镜
作者:
James Webb
;
Louis Denes
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
micro-objective;
immersion;
157nm;
193nm;
248nm;
achromatize;
catadioptric;
resist development;
aerial image inspection;
EXCIMER laser;
16.
Induced density changes in 193-nm excimer-laser-damaged silica glass: a kinetic model
机译:
193 nm受准分子激光损伤的石英玻璃中的密度变化:动力学模型
作者:
Douglas C. Allan
;
Roger J. Araujo
;
Charlene M. Smith
;
Nicholas F. Borrelli
会议名称:
《》
|
2004年
关键词:
silica;
laser damage;
excimer;
compaction;
densification;
expansion;
kinetic model;
optical path difference;
17.
The Impact of MEEF Through Pitch for 120nm Contact Holes
机译:
MEEF通过120nm接触孔的间距的影响
作者:
Lloyd C. Litt
;
Wei Wu
;
Will Conley
;
Kevin Lucas
;
Bernie Roman
;
Patrick Montgomery
;
Bryan Kasprowicz
;
Chris Progler
;
Robert Socha
;
Arjan Verhappen
;
Kurt Wampler
;
Erika Schaefer
;
Pat Cook
;
Jan Pieter Kuijten
;
Wil Pijnenburg
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
mask error factor;
MEEF;
MEF;
contact;
resolution enhancement techniques;
RET;
18.
The performance advantages of a dual stage system
机译:
双级系统的性能优势
作者:
Marc Boonman
;
Coen van de Vin
;
Sjef Tempelaars
;
Ronald van Doom
;
John Zimmerman
;
Paul Teunissen
;
Arthur Minnaert
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
optical lithography;
dual stage;
imaging capability;
focus performance;
flatness metrology;
19.
Towards Automatic Mask and Source Optimization for Optical Lithography
机译:
面向光学光刻的自动掩模和光源优化
作者:
Andreas Erdmann
;
Tim Fuehner
;
Thomas Schnattinger
;
Bernd Tollkuehn
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
optical lithography;
resolution enhancement;
image modeling;
genetic algorithms;
20.
Feasibility Studies of ArF Chromeless Mask (CLM) for Sub-80nm Era
机译:
低于80nm时代的ArF无铬掩模(CLM)的可行性研究
作者:
Tae-Seung Eom
;
Chang-Moon Lim
;
Min-Gyu Sung
;
Seung-Chan Moon
;
Ki-Soo Shin
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
sidewall angle;
chromeless mask;
trench type CLM;
mesa type CLM;
Arf;
21.
DUV laser lithography for photomask fabrication
机译:
用于光掩模制造的DUV激光光刻
作者:
Curt Jackson
;
Peter Buck
;
Sarah Cohen
;
Vishal Garg
;
Charles Howard
;
Robert Kiefer
;
John Manfredo
;
James Tsou
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
DUV laser lithography;
chemically amplified resist;
pattern fidelity;
22.
Improving ArF Lens performance and New generation high NA KrF optical system
机译:
改善ArF镜头性能和新一代高NA KrF光学系统
作者:
Tomohiro Hoshino
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
wavefront engineering;
power spectrum density;
polarized wavefront;
high NA KrF tool;
23.
Calibration of OPC models for multiple focus conditions
机译:
针对多种聚焦条件对OPC模型进行校准
作者:
Jochen Schacht
;
Klaus Herold
;
Rainer Zimmermann
;
J.Andres Torres
;
Wilhelm Maurer
;
Yuri Granik
;
Ching Hsu Chang
;
G Kuei-Chun Hung
;
Benjamin Szu-Min Lin
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
model based OPC;
scattering bars;
micro-lithography;
low k1 imaging;
modeling;
process window;
DOf;
24.
Classical Control Theory Applied to OPC Correction Segment Convergence
机译:
经典控制理论在OPC校正段收敛中的应用
作者:
Benjamin Painter
;
Lawrence S. Melvin III
;
Michael L. Rieger
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
OPC;
convergence;
proportional;
integral;
derivative;
controller;
iteration;
25.
BEOL Lithography For Early Development At The 65 nm Node
机译:
用于65 nm节点早期开发的BEOL光刻技术
作者:
R. DellaGuardia
;
R. Kwong
;
W. Li
;
P. Lawson
;
M. Burkhardt
;
I. Graur
;
Q. Wu
;
M. Angyal
;
H. Hichri
;
I. Melville
;
K. Kumar
;
Y. Lin
;
S. Holmes
;
R. Varanasi
;
T. Spooner
;
D. McHerron
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
lithography;
image shortening;
optical proximity correction;
193nm lithography;
process window improvement;
resolution enhancement;
contact hole printing;
process development;
26.
Cost of ownership reduction for OPC development and production
机译:
降低OPC开发和生产的拥有成本
作者:
Chi-Min Yuan
;
Bob Jarvis
;
Kevin Lucas
;
Robert Boone
;
Ruiqi Tian
;
Alfred Reich
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
optical proximity correction;
OPC;
reticle enhancement technology;
RET;
lithography;
27.
Defect Printability in CPL Mask Technology
机译:
CPL掩模技术中的可印刷性不良
作者:
Jan Pieter Kuijten
;
Arjan Verhappen
;
Wil Pijnenburg
;
Will Conley
;
Lloyd Litt
;
Wei Wu
;
Patrick Montgomery
;
Bernie Roman
;
Bryan S. Kasprowicz
;
Chris Progler
;
Robert Socha
;
Doug van den Broeke
;
Erika Schaefer
;
Pat Cook
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
CPL;
chromeless phase lithography;
defect printability;
RET;
photomask;
reticle;
PSM;
28.
Developable bottom antireflective coatings for 248nm and 193nm lithography
机译:
可开发的底部抗反射涂层,用于248nm和193nm光刻
作者:
Tomohide Katayama
;
Hisashi Motobayashi
;
Wenbing Kang
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
DBARC;
developable BARC;
implant;
29.
Double exposure to reduce overall line-width variation of 80nm DRAM gate
机译:
两次曝光可减少80nm DRAM门的整体线宽变化
作者:
Won-Kwang Ma
;
Chang-Moon Lim
;
Se-Young Oh
;
Seo-Min Kim
;
Byung-Ho Nam
;
Seung-Chan Moon
;
Ki-Soo Shin
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
double exposure;
stitching;
OPC;
simulation;
reticle;
ArF;
lithography;
30.
Detailed Process Analysis for Sub-Resolution Assist Features Introduction
机译:
次分辨率辅助功能的详细过程分析简介
作者:
Andreas Torsy
;
Olivier Toublan
;
Rainer Zimmermann
;
Harry Smyth
;
Jens Hassmann
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
31.
Diffraction Analysis of Customized Illumination Technique
机译:
定制照明技术的衍射分析
作者:
Chang-Moon Lim
;
Seo-Min Kim
;
Tae-Seung Eom
;
Seung-Chan Moon
;
Ki-Soo Shin
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
customized illumination;
illumination optimization;
diffraction domain analysis;
32.
Approach for reducing resist footing over non-planar wafer
机译:
减少非平面晶片上抗蚀剂残留的方法
作者:
Ayako Endo
;
Takashi Sato
;
Masafumi Asano
;
Shoji Mimotogi
;
Soichi Inoue
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
ion implant;
resist footing;
wafer topography;
simulation;
DOE;
33.
Analysis of off-axis illumination based phase-edge/chromeless mask technologies
机译:
基于离轴照明的相位边缘/无铬掩模技术的分析
作者:
Ebo Croffie
;
Kunal Taravade
;
Neal Callan
;
KT Park
;
Greg Hughes
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
chromeless phase shift mask;
crlPSM;
linearity fall-off;
etched quartz;
low k_1;
65 nm lithography;
MEEf;
34.
Full-chip OPC treatment using vector thin film models
机译:
使用矢量薄膜模型的全芯片OPC处理
作者:
Ralph E. Schlief
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
vector optical model;
thin film stack;
OPC (optical proximity correction);
microlithography simulation;
35.
Graphical methods to help understand partially coherent imaging
机译:
图形方法有助于理解部分相干成像
作者:
Douglas S. Goodman
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
partial coherence;
imaging;
graphical methods;
36.
Zero-space microlenses for CMOS image sensors: optical modeling and lithographic process development
机译:
CMOS图像传感器的零空间微透镜:光学建模和光刻工艺开发
作者:
Douglas A. Baillie
;
Jonathan E. Gendler
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
37.
Reduction of across wafer CDU via constrained optimization of a multi-channel PEB plate controller based on in-situ measurements of thermal time constants
机译:
通过基于热时间常数的原位测量的多通道PEB板控制器的约束优化来减少整个晶圆CDU
作者:
Jason Tiffany
;
Barney Cohen
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
193 nm resist;
PEB sensitivity;
PEB tuning;
rise time transients;
38.
Swing curve prediction from reflectance spectra: A new method to predict optimal resist thicknesses and compare processes
机译:
通过反射光谱预测摆动曲线:一种预测最佳抗蚀剂厚度并比较工艺的新方法
作者:
David H Ziger
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
swing curve measurement;
UV reflectance spectra;
39.
Suppression of Sidelobe and Overlap Error in AttPSM Metal Layer Lithography Using Rule-based OPC
机译:
基于规则的OPC抑制AttPSM金属层光刻中的旁瓣和重叠误差
作者:
Hoong-Joo Lee
;
Mi-Young Lee
;
Jun-Ha Lee
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
PSM;
OPC;
side-lobe;
overlap error;
scattering bar;
40.
Study of Cr patch validity for ArF CPL mask and its fabrication
机译:
ArF CPL掩模的Cr贴片有效性研究及其制造
作者:
Chang-Hwan Kim
;
Sung-Hyuk Kim
;
Myoung-Soo Lee
;
Ji-Soong Park
;
In-Gyun Shin
;
Sung-Woon Choi
;
Hee-Sun Yoon
;
Woo-Sung Han
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
ArF;
Cr pacth;
2nd process;
alignment;
e-beam;
100nm;
41.
Continuous Tone Gray-Scale Photomask for Deep UV Lithography Applications
机译:
用于深紫外光刻的连续色调灰度光掩模
作者:
E. A. Mendoza
;
F. Sigoli
;
H. Paulus
;
L. Q. Giang
;
M. Seifouri
;
E. Lam
;
L. Kempen
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
gray scale;
gradient refractive index;
phase-shift mask;
attenuated photomask;
continuous tone;
42.
Characterization of Next Generation Bake Plate Requirements for 193 nm Lithography Tools
机译:
193 nm光刻工具的下一代烤盘要求的表征
作者:
Phong Do
;
Ann Kang
;
Joe Pender
;
Thomas Lehmann
;
Leo McArdle
;
Farhat Quli
;
James Pascale
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
43.
Correlating Reticle Pinhole Defects to Wafer Printability for the 90nm Node Lithography Using Advanced RET
机译:
使用高级RET将掩模版针孔缺陷与90nm节点光刻的晶圆可印刷性相关
作者:
WB Shieh
;
William Chou
;
Chuen Huei Yang
;
J K Wu
;
Noah Chen
;
Shih Ming Yen
;
Tony Hsu
;
Steve Tuan
;
Doris Chang
;
Maciej Rudzinski
;
Lantian Wang
;
Kong Son
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
inspection;
pinhole;
printability;
44.
Critical failure ORC - Application to the 90-nm and 65-nm nodes
机译:
严重故障ORC-应用于90nm和65nm节点
作者:
Jerome Belledent
;
Shumay D. Shang
;
Yorick Trouiller
;
Corinne Miramond
;
Kyle Patterson
;
Olivier Toublan
;
Christophe Couderc
;
Frank Sundermann
;
Yves Rody
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
OPC;
process window;
ORC;
MRC;
failure model and prediction;
45.
Conversion from 50KeV to DUV Mask Writer for 90nm Technology Critical Layers
机译:
从90纳米技术关键层的50KeV转换为DUV掩模写入器
作者:
Dongsung Hong
;
Prakash Krishnan
;
Dianna Coburn
;
Mary Zawadzki
;
Yonghong Yang
;
Kent Green
;
Peter Buck
;
Curt Jackson
;
Larry Martinez
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
photomask;
mask;
OPC;
ALTA-4300;
50KeV vector acan beam (VSB);
e-beam lithography;
optical mask lithography;
RAM-9 (R9, 90nm node);
46.
Customized illumination schemes for critical layers of 90nm node dense memory devices in ArF lithography: comparison between simulation and experimental results
机译:
ArF光刻中90nm节点密集型存储器件的关键层的定制照明方案:仿真与实验结果之间的比较
作者:
Gianfranco Capetti
;
Maddalena Bollin
;
Annalisa Pepe
;
Gina Cotti
;
Sara Loi
;
Umberto Iessi
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
RET;
customized illumination;
ArF lithography;
K_1;
47.
Development of 157nm Full Field Scanners
机译:
157nm全场扫描仪的开发
作者:
Hideo Hata
;
Hideki Nogawa
;
Shigeyuki Suda
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
157 nm;
lithography;
scanners;
exposure tool;
CaF2;
projection optics;
purge system;
48.
Experimental verification of a model based decomposition method for Double Dipole Lithography
机译:
基于模型的双偶极光刻技术分解方法的实验验证
作者:
M. Eurlings
;
S. Hsu
;
E. Hendrickx
;
W. op t Root
;
T. Laidig
;
T. Chiou
;
A. Chen
;
F. Chen
;
G. Vandenberghe
;
J. Finders
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
double dipole lithography;
DDL;
design for manufacturing;
DFM;
mask decomposition;
waviness;
CD uniformity;
CDU;
line width roughness;
LWR;
49.
Evaluation of IDEALSmile for 90nm FLASH memory contact holes imaging with ArF scanner
机译:
使用ArF扫描仪评估IDEALSmile用于90 nm FLASH存储器接触孔成像
作者:
Pietro Cantu
;
Gianfranco Capetti
;
Sara Loi
;
Marco Lupo
;
Annalisa Pepe
;
Kenji Saitoh
;
Kenji Yamazoe
;
Yasuo Hasegawa
;
Junji Iwasa
;
Olivier Toublan
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
IDEALSmile;
resolution enhancement technology;
RET;
OPC;
contact;
IDEAL;
50.
Advanced Model Formulations for Optical and Process Proximity Correction
机译:
用于光学和过程接近度校正的高级模型公式
作者:
Daniel F. Beale
;
James P. Shiely
;
Lawrence L. Melvin III
;
Michael L. Rieger
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
OPC;
process proximity correction;
etching;
variable threshold;
PSM;
process window;
51.
Overcoming limitations of etalon spectrometers used for spectral metrology of DUV excimer light sources
机译:
克服用于DUV准分子光源光谱计量的标准具光谱仪的局限性
作者:
Robert J. Rafac
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
excimer laser;
bandwidth;
metrology;
chromatic aberration;
spectrometer;
52.
REAPS Technique For Printing Sub-100nm Trench Using KrF Lithography
机译:
使用KrF光刻技术印刷100nm以下沟槽的REAPS技术
作者:
W.H. Sheu
;
Elvis Yang
;
T.H. Yang
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
53.
Real-time microlithography employing a transparent liquid crystal display (LCD) panel as a configurable mask
机译:
使用透明液晶显示(LCD)面板作为可配置掩模的实时微光刻
作者:
Sung-Hoon Pieh
;
Byoung-Ho Park
;
Yu-Jin Jang
;
Kang-Hyun Kim
;
Gyu-Tae Kim
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
configurable mask;
LCD (liquid crystal display) panel;
exposure system;
selective exposure;
real-time lithography;
microlithography;
in situ photolithography;
stereo-typed microscope;
imaging system;
54.
Pushing KrF Photolithography Limit for 3-D Integrated Circuit
机译:
推动3-D集成电路的KrF光刻极限
作者:
Yung-Tin Chen
;
Steve Radigan
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
KrF photolithography;
3-D integrated circuit;
PSM;
OAI;
nonprinting window;
55.
OML: Optical Maskless Lithography for Economic Design Prototyping and Small-Volume Production
机译:
OML:用于经济设计原型和小批量生产的光学无掩模光刻
作者:
Tor Sandstrom
;
Arno Bleeker
;
Jason D. Hintersteiner
;
Kars Troost
;
Jorge Freyer
;
Karel van der Mast
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
maskless lithography;
SLM;
56.
New Concepts in OPC
机译:
OPC中的新概念
作者:
Nick Cobb
;
Yuri Granik
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
OPC;
model-based;
optical flare;
57.
Lithography-based automation in the Design of Program Defect Masks
机译:
程序缺陷掩模设计中基于光刻的自动化
作者:
George Vakanas
;
Saghir Munir
;
Edita Tejnil
;
Dan Bald
;
Rajesh Nagpal
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
programmed defect mask design;
automated programmed defect placements;
coordinates extraction;
58.
Matching OPC and masks on 300mm lithography tools utilizing variable illumination settings
机译:
利用可变的照明设置在300mm光刻工具上匹配OPC和掩模
作者:
K. Palitzsch
;
M. Kubis
;
U. P. Schroeder
;
K. Schumacher
;
A. Frangen
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
OPC matching;
CD control;
illumination settings;
300 mm;
59.
The evaluation of assist feature defect printability for sub-0.13 μm technology
机译:
小于0.13μm技术的辅助特征缺陷可印刷性评估
作者:
Chang-Young Jeong
;
Young Keun Kim
;
Ki-Yeop Park
;
Jae Sung Choi
;
Jeong Gun Lee
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
assist bar;
mask defect;
location;
illumination condition;
mask bias;
60.
Extension of ArF Lithography for Poly Gate Patterning of 65nm Generation and Beyond
机译:
ArF光刻技术的扩展,可用于65nm及以后的多晶硅栅图案
作者:
Shu-Hao Hsu
;
Shu-Ping Fang
;
I. H. Huang
;
Benjamin Szu-Min Lin
;
Kuei-Chun Hung
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
alternating phase-shift mask;
ArF lithography;
resolution enhancement techniques;
65nm node and beyond;
61.
Through Pitch Intensity Balancing and Phase Error Analysis of 193nm Alternating Phase Shift Masks
机译:
193nm交替相移掩模的通过间距强度平衡和相位误差分析
作者:
Kent H Nakagawa
;
Gregory P Hughes
;
KT Park
;
Peter Buck
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
alternating PSM;
phase-shift mask;
EMF simulation;
ProMAX;
ProLITH;
AIMS;
62.
The study of contact hole MEEF and defect printability
机译:
接触孔MEEF和缺陷可印刷性的研究
作者:
Chang-Young Jeong
;
Yeon Hwa Lim
;
Hong Ik Kim
;
Jeong Lyeol Park
;
Jae Sung Choi
;
Jeong Gun Lee
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
MEEF;
contact hole;
pitch;
mask bias;
mask defect;
63.
Fast calculation of images for high numerical aperture lithography
机译:
快速计算图像以实现高数值孔径光刻
作者:
Alan E. Rosenbluth
;
Gregg Gallatin
;
Ronald L. Gordon
;
William Hinsberg
;
John Hoffnagle
;
Frances Houle
;
Kafai Lai
;
Alexey Lvov
;
Martha Sanchez
;
Nakgeuon Seong
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
SOCS;
OPC;
vector imaging;
matrix pupils;
birefringence;
polarized sources;
resist response;
diffused aerial image;
64.
Integrated OPC approach to line-end shortening effects on the photomask and silicon levels for ArF attenuated PSM lithography
机译:
集成的OPC方法可缩短ArF衰减PSM光刻对光掩模和硅水平的线端缩短效应
作者:
Shunichiro Sato
;
Ken Ozawa
;
Mikio Katsumata
;
Hidetoshi Ohnuma
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
line end shortening;
photomask;
line end MEEF;
integrated OPC;
65.
Specification of the Phase Angle of a 6 attenuated PSM mask used in ArF Lithography
机译:
ArF光刻中使用的6%衰减PSM掩模的相角规格
作者:
Ching H. Chang
;
Jochen Schacht
;
Benjamin S. M. Lin
;
Kuei C. Hung
;
I. H. Huang
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
66.
Simulation-based Critical Area Extraction and Litho-Friendly Layout Design for Low kl Lithography
机译:
基于仿真的低kl光刻关键区域提取和光刻友好版图设计
作者:
Soo-Han Choi
;
Yong-Chan Ban
;
Ki-Heung Lee
;
Dong-Hyun Kim
;
Ji-Suk Hong
;
Yoo-Hyon Kim
;
Moon-Hyun Yoo
;
Jeong-Taek Kong
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
critical area extraction;
selective simulation;
litho-friendly layout;
process margin;
optical proximity correction;
layout editor environment;
67.
Rigorous Diffraction Analysis using Geometrical Theory of Diffraction for Future Mask Technology
机译:
使用衍射几何理论对未来掩模技术进行严格衍射分析
作者:
Gek Soon Chua
;
Cho Jui Tay
;
Chenggen Quan
;
Qunying Lin
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
optical proximity correction;
phase shift masks;
tune-domain finite-difference algorithm;
geometrical theory of diffraction;
diffraction coefficients;
68.
A new process for accurate alignment using laser ablation technology
机译:
使用激光烧蚀技术进行精确对准的新工艺
作者:
Hiroshi Ikegami
;
Kenji Kawano
;
Kazutaka Ishigo
;
Tatsuhiko Higashiki
;
Nobuo Hayasaka
;
Naoto Yoshitaka
;
Hideaki Kashiwagi
;
Masayoshi Kobayashi
;
Yoichi Ogawa
;
Shinichi Ito
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
lithography;
accurate alignment;
laser ablation;
Al film;
alignment mark;
plasma formation;
69.
Advances in vortex via fabrication
机译:
通过制造涡流的进展
作者:
Marc D. Levenson
;
Takeaki (Joe) Ebihara
;
Michael Reilly
;
George Barclay
;
Vaishali Vohra
;
Carolyne Stafford
;
Martin E. Mastovich
;
Yasutaka Morikawa
;
Naoya Hayashi
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
contact;
via;
PSM;
optical vortex;
KrF;
Arf;
70.
A Super Fast 3-D Lithography Simulator and Its Application for ULSI Printability Analysis
机译:
超快速3D光刻模拟器及其在ULSI可印刷性分析中的应用
作者:
Zhengrong Zhu
;
Andrzej J. Strojwas
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
waveguide model;
symmetric waveguide;
71.
0.85 NA ArF scanner: advancing features and performances
机译:
0.85 NA ArF扫描仪:先进的功能和性能
作者:
Atsushi Namba
;
Shigeyuki Uzawa
;
Kenichi Kotoku
会议名称:
《Conference on Optical Microlithography XVII pt.2; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
step-and-scan exposure system;
synchronization accuracy;
low aberration;
throughput;
overlay;
意见反馈
回到顶部
回到首页