掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011
22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
2011 ASMC organizing committee
机译:
2011 ASMC组委会
会议名称:
《》
|
2011年
2.
Parametric composite limited yield index for functional circuits yield prediction
机译:
功能电路良率预测的参数复合受限良率指标
作者:
Liao Jiun-Hsin
;
Ahsan Ishtiaq
;
Logan Ronald
;
Rudgers George
;
Towler Fred
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Parametric limited yield;
Yield prediction;
3.
Embedded memory fail analysis for production yield enhancement
机译:
嵌入式内存故障分析可提高产量
作者:
Baltagi Youssef
;
Rosi Daniele Li
;
Tancorre Vincenzo
;
Garagnon Christophe
;
Faehn Eric
;
Barone Mario
;
Appello Davide
;
Suzor Christophe
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Bitmap;
Fault Signature;
MBIST;
March Algorithm;
Yield;
4.
45nm Yield model optimization
机译:
45nm良率模型优化
作者:
Walsh Brian L.
;
Colt John
;
Poindexter Daniel
;
Joseph Thomas
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Yield;
Yield Modeling;
5.
Yield optimization for third party library elements
机译:
第三方库元素的产量优化
作者:
Bickford Jeanne Paulette
;
Chan Francis
;
Styduhar Mark
;
Wang Lee
;
Arelt Robert
;
Graur Ioana
;
Parker Steven
;
Ryan Deborah
;
Wagner Tina
;
Kumaraswamy Anand
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Design for Manufacturing (DfM);
Litho Friendly Design (LFD);
Manufacturability;
Yield;
Yield Checking Deck(YCD);
6.
The global supply chain is our new fab: Integration and automation challenges
机译:
全球供应链是我们的新晶圆厂:集成和自动化挑战
作者:
Ehm Hans
;
Ponsignon Thomas
;
Kaufmann Thomas
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Global Supply Chain;
Manufacturing Network;
Triple-A Challenge;
embedded Wafer Level Ball;
7.
Ambient persuasion in the factory: The case of the Operator Guide
机译:
工厂中的环境说服力:《操作指南》的案例
作者:
Mechtscherjakov Alexander
;
Kluckner Patricia
;
Pohr Florian
;
Reitberger Wolfgang
;
Weiss Astrid
;
Tscheligi Manfred
;
Hohenwarter Karl Horst
;
Oswald Peter
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
ambient intelligence;
ambient persuasion;
operator guide;
persuasive technology;
8.
Looking towards a sustainable and green future
机译:
展望可持续的绿色未来
作者:
Basu Sumita
;
Viarengo Sandra
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Environment;
Green House Gases (GHG);
Supply Chain;
eco-friendly;
green;
sustainable;
9.
Dynamic management of controls in semiconductor manufacturing
机译:
半导体制造中控件的动态管理
作者:
Munga Justin Nduhura
;
Dauzere-Peres Stephane
;
Vialletelle Philippe
;
Yugma Claude
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Control;
defectivity;
dynamic sampling;
risk;
10.
Characterizing the value of technological knowledge for lean manufacturing
机译:
表征精益制造技术知识的价值
作者:
Weber Charles M.
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
knowledge;
lean manufacturing;
semiconductor;
technological;
value;
11.
In-line metrology of high aspect ratio structu with MBIR technique
机译:
MBIR技术的高长宽比结构的在线计量
作者:
Le Cunf Delphine
;
Hoglund Leif Jonny
;
Laurent Nicolas
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Metrology;
TSV;
deeep trench;
high Aspect Ratio;
12.
Full automatic on the fly optical macro wafer edge inspection system
机译:
全自动即时光学宏晶片边缘检测系统
作者:
Kirmizigul Denis
;
Frohlich Heiko
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
13.
Application of CGS stress metrology to advanced process control monitoring
机译:
CGS应力计量在先进过程控制与监控中的应用
作者:
Owen David M.
;
Hebb Jeff
;
Otten Christian
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
device yield;
process control;
stress metrology;
14.
Inline control of an ultra low-k ILD layer using Broadband Spectroscopic Ellipsometry
机译:
使用宽带光谱椭偏仪在线控制超低k ILD层
作者:
Haupt Ronny
;
Zhiming Jiang
;
Haensel Leander
;
Mueller Ulf Peter
;
Mayer Ulrich
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
ILD;
Inter-layer dielectric;
Metrology;
Spectroscopic Ellipsometry;
ULK;
UV cure;
ultra low-k;
15.
Advanced overlay control in volume manufacturing
机译:
批量生产中的高级叠加控制
作者:
Wiltshire Timothy
;
Ausschnitt Christopher
;
Felix Nelson
;
Hwang Emily
;
Pike Michael
;
Gabor Allen
;
Preil Moshe
;
Couraudon Vincent
;
Schreiber James
;
Fliervoet Timon
;
Simons Geert
;
Rottenkolber Erica
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
advanced process control;
baseline;
lithography;
lithography controls;
overlay;
16.
On the technology and ecosystem of 3D / TSV manufacturing
机译:
关于3D / TSV制造的技术和生态系统
作者:
Hummler Klaus
;
Smith Larry
;
Caramto Raymond
;
Edgeworth Robert
;
Olson Stephen
;
Pascual Daniel
;
Qureshi Jamal
;
Rudack Andy
;
Quon Roger
;
Arkalgud Sitaram
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
3D;
TSV;
TSV mid;
manufacturing readiness;
standards;
17.
Parasitics extraction, wideband modeling and sensitivity analysis of through-strata-via (TSV) in 3D integration/packaging
机译:
3D集成/封装中的通孔(TSV)的寄生虫提取,宽带建模和灵敏度分析
作者:
Xu Zheng
;
Gu Xiaoxiong
;
Lu Jian-Qiang
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
3D integration;
RLGC extraction;
TSV;
modeling;
packaging;
sensitivity analysis;
18.
Scaling of copper seed layer thickness using plasma-enhanced ALD and an optimized precursor
机译:
使用等离子体增强的ALD和优化的前驱体缩放铜晶种层的厚度
作者:
Mao Jiajun
;
Eisenbraun Eric
;
Omarjee Vincent
;
Korolev Andrey
;
Dussarrat Christian
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
AbaCus;
BEOL;
Copper;
PE-ALD;
19.
Investigation of the structural and electrical characterization on ZrO
2
addition for ALD HfO
2
with La
2
O
3
capping layer integrated metal-oxide semiconductor capacitors
机译:
La
2 inf> O
3 inf>覆盖层的ALD HfO
2 inf>的ZrO
2 inf>添加的结构和电学特性研究集成金属氧化物半导体电容器
作者:
Chiang C. K.
;
Chang J. C.
;
Liu W. H.
;
Liu C. C.
;
Lin J. F.
;
Yang C. L.
;
Wu J. Y.
;
Wang S. J.
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
20.
Low-k etching using CF
3
I, a path to overcome current BEOL integration issues
机译:
使用CF
3 inf> I进行低k蚀刻,这是克服当前BEOL集成问题的途径
作者:
Gildea Adam J.
;
Long Justin C.
;
Eisenbraun Eric
;
Omarjee Vincent
;
Stafford Nathan
;
Doniat Francois
;
Dussarrat Christian
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
BEOL;
CFinf3/infI;
Etching;
Low-k;
Plasma;
21.
Advanced elemental analysis methods for sub 30nm defects in a defect review SEM
机译:
缺陷审查SEM中用于30nm以下缺陷的先进元素分析方法
作者:
Shemesh Dror
;
Boehm Adi
;
Greenberg Ofir
;
Dotan Kfir
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
EDX;
e-beam induced damage;
elemental analysis;
silicon drift detector;
thin films;
22.
Laser spike annealing for nickel silicide formation
机译:
激光尖峰退火形成硅化镍
作者:
Hebb Jeffrey
;
Wang Yun
;
Shetty Shrinivas
;
McWhirter Jim
;
Owen David
;
Shen Michael
;
Le Van
;
Mileham Jeffrey
;
Gaines David
;
Anikitchev Serguei
;
Chen Shaoyin
;
Bischoff Paul
;
Lee Joe
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
laser annealing;
millisecond annealing;
nickel silicide;
pattern effects;
temperature control;
23.
Mechanical properties of Si-C-O-H low-k dielectrics prepared by plasma enhanced chemical vapor deposition
机译:
通过等离子体增强化学气相沉积制备的Si-C-O-H低k电介质的机械性能
作者:
Woytowitz Peter
;
Roham Sassan
;
Niu Dong
;
Fu Haiying
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
24.
New methods for improved SRAM detection through scattered light collection
机译:
通过散射光收集改善SRAM检测的新方法
作者:
Barel Reuven
;
Shachar Keren
;
Bechler Yakir
;
Horesh Nir
;
Chiang Hsien-Tsung
;
Chen To-Yu
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
DUV;
Filtering;
Pattern suppression;
SRAM;
Scattered light;
Wafer inspection;
25.
Reduction of CMP-induced wafer defects through in-situ removal of process debris
机译:
通过原位清除工艺碎片减少CMP引起的晶圆缺陷
作者:
Benner S. J.
;
Perez G.
;
Peters D. W.
;
Hue K.
;
OHagan P.
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
26.
Sampling process information from unstructured data
机译:
从非结构化数据中采样过程信息
作者:
Popp J.
;
Ortloff D.
;
Schmidt T.
;
Hahn K.
;
Mielke M.
;
Bruck R.
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Process development execution system;
process data management;
27.
Substrate cleaning using ultrasonics/megasonics
机译:
使用超声波/超音波清洗基材
作者:
Kazemi Mohammad
;
Treichel Helmuth
;
Ligutom Rito
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Acoustic Cavitation;
Acoustic streaming;
Particle Removal;
Ultrasonics/Megasonics;
Van der Waals Force;
28.
Use of neural network to model the FTIR spectra of PECVD silicon nitride films for cardiovascular pressure sensor applications
机译:
使用神经网络对PECVD氮化硅膜的FTIR光谱进行建模以用于心血管压力传感器
作者:
Thongvigitmanee Thongchai
;
Titiroongruang Wisut
;
Srihapat Arckom
;
Poyai Amporn
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
FTIR;
Modeling;
neural networks;
plasma-enhanced chemical vapor deposition (PECVD);
silicon nitride film;
29.
Virtual metrology models for predicting avera PECVD oxide film thickne
机译:
用于预测平均PECVD氧化膜厚度的虚拟计量模型
作者:
Ferreira Ariane
;
Roussy Agnes
;
Kernaflen Christelle
;
Gleispach Dietmar
;
Hayderer Gunter
;
Gris Herve
;
Besnard Jerome
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Advanced Process Control;
CVD Oxide thickness;
Partial Least Squares Regression;
Semiconductor Manufacturing;
Tree Ensembles;
Virtual Metrology;
30.
Wet etch step modelling to help Shallow Trench Isolation module control
机译:
湿蚀刻步骤建模可帮助浅沟槽隔离模块控制
作者:
Roussy A.
;
Gedion M.
;
Crousier N.
;
Pinaton J.
;
Labory K.
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Design of Experiments;
Run to Run;
Shallow Trench Isolation;
Wet Etch process;
31.
Yp — Ypk: Product test yield and yield dispersion indicators
机译:
Yp — Ypk:产品测试良率和良率分散指标
作者:
Bostelmann Matthias T.
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
DM Data Management and Data Mining Tools;
Yp Ypk;
dashboard;
new visualization method;
32.
Advanced excursion control and diagnostics for CMP process monitoring
机译:
CMP过程监控的高级偏移控制和诊断
作者:
Stamper Andrew
;
Sivaraman Gangadharan
;
Sankar Ravi
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
auto derivative;
iDO(inline defect organizer);
33.
Advanced floating gate CD uniformity control in the 75nm node NOR flash memory
机译:
75nm节点NOR闪存中的高级浮栅CD均匀性控制
作者:
Chang Sheng-Yuan
;
Chen Yu-Chung
;
Wei An Chyi
;
Lee Hong-Ji
;
Lian Nan-Tzu
;
Yang Tahone
;
Chen Kuang-Chao
;
Lu Chih-Yuan
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Critical dimension uniformity (CDU);
Tri-layer approach;
flash gate stack etch;
34.
Automated systematic discovery for development and production
机译:
用于开发和生产的自动化系统发现
作者:
Austin Brad
;
Cross Andrew
;
Liesching Marcus
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Design;
Design based binning;
Inspection;
systematic defectivity;
35.
Data mining using PLS-trees and other projection methods
机译:
使用PLS树和其他投影方法进行数据挖掘
作者:
Byrne Tamara
;
Wold Svante
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Cluster analysis;
PCA;
PLS;
multivariate;
time series data;
36.
The effect of bevel film removal on wafer warpage and film stress
机译:
倒角膜去除对晶圆翘曲和膜应力的影响
作者:
Kim Keechan
;
Kwon Kwanwook
;
Kim Y S
;
Dudley Russ
;
Marx David
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
bevel;
defect;
stress;
wafer edge;
warpage;
37.
Eliminating a polysilicon hole defect created during oxide removal
机译:
消除氧化物去除过程中产生的多晶硅孔缺陷
作者:
Shin Ikhoon
;
Doub Jason
;
Mortesen Keith
;
Lappan Raymond
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
BOE;
Boron implant;
GOI;
Salicide block module;
polysilicon void defects;
38.
Establishing continuous flow manufacturing in a Wafertest-environment via value stream design
机译:
通过价值流设计在Wafertest环境中建立连续流程制造
作者:
Keil Sophia
;
Schneider Germar
;
Eberts Dietrich
;
Wilhelm Kristina
;
Gestring Ingo
;
Lasch Rainer
;
Deutschlander Arthur
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Value Stream Design;
Wafertest;
continuous flow manufacturing;
high-mix;
job shop;
low-volume;
semiconductor industry;
39.
FMEA for Lean Manufacturing
机译:
精益生产的FMEA
作者:
Lombardi Michael E
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
FMEA;
Lean;
Training;
40.
Neural network modeling of fabrication yield using manufacturing data
机译:
使用制造数据对制造良率进行神经网络建模
作者:
Mevawalla Z. N.
;
May G. S.
;
Honjo M.
;
Kiehlbauch M. W.
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Neural networks;
advanced process control;
production line;
semiconductor manufacturing;
41.
Reducing environmentally induced defects while maintaining productivity
机译:
减少环境导致的缺陷,同时保持生产率
作者:
van Roijen R.
;
Conti S.
;
Keyser R.
;
Arndt R.
;
Burda R.
;
Ayala J.
;
Henry R.
;
Levy J.
;
Maxson J.
;
Meyette E.
;
Steer W.
;
Tabakman K.
;
Yu C.
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
contamination;
defect;
defect classification;
foreign material;
queue time;
42.
Cost effective and robust nickel silicidation process qualification and chamber matching in rapid thermal processing tools
机译:
快速热处理工具具有成本效益且坚固耐用的镍硅化工艺鉴定和腔室匹配
作者:
Tong Weihua
;
Suresh K.
;
Tan Miowchin
;
Benyon Peter
;
Srinivasan Vish
;
Liu Jinping
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
chamber matching;
low temperature;
nickel and titanium film stack;
nickel silicide;
process qualification;
43.
A new device for highly accurate gas flow control with extremely fast response times
机译:
一种新型设备,可实现非常快速的响应时间,高度精确的气流控制
作者:
Boyd Kevin
;
Monkowski Adam
;
Chen Jialing
;
Ding Tao
;
Malone Ray
;
Monkowski Joseph
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
44.
Thermal budget reduction and throughput enhancement for CMOS Epi stressors via wet clean interface contamination evaluation and control
机译:
通过湿法清洁界面污染评估和控制,降低CMOS Epi应力源的热预算并提高产量
作者:
Brabant Paul
;
Chung Keith
;
Shinriki Manabu
;
Hasaka Scott
;
Scott Dane
;
Wirzbicki Mark
;
Francis Terry
;
He Hong
;
Sadana Devendra K
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Hinf2/inf prebake;
HF last clean;
Low temperature epitaxy;
interfacial oxide;
moisture;
queue time;
45.
Optimization of pitch-split double patterning phoresist for applications at the 16nm node
机译:
针对16nm节点的应用优化的间距分割双图案电泳仪
作者:
Holmes Steven J.
;
Tang Cherry
;
Burns Sean
;
Yin Yunpeng
;
Chen Rex
;
Koay Chiew-seng
;
Kini Sumanth
;
Tomizawa Hideyuki
;
Chen Shyng-Tsong
;
Fender Nicolette
;
Osborn Brian
;
Singh Lovejeet
;
Petrillo Karen
;
Landie Guillaume
;
Halle Scott
;
Liu Sen
;
Arnold John C.
;
Spooner Terry
;
Varanasi Rao
;
Slezak Mark
;
Colburn Matthew
;
Dunn Shannon
;
Hetzer David
;
Kawakami Shinichiro
;
Cantone Jason
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
16 nm node lithography;
double patterning;
pitch-split;
thermal cure;
tone inversion;
46.
Strategies for single patterning of contacts for 32nm and 28nm technology
机译:
用于32nm和28nm技术的单触点图案化策略
作者:
Morgenfeld Bradley
;
Stobert Ian
;
Haffner Henning
;
An Juj
;
Kanai Hideki
;
Ostermayr Martin
;
Chen Norman
;
Aminpur Massud
;
Brodsky Colin
;
Thomas Alan
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
47.
Investigation of noise sources in the focus control process for immersion lithography
机译:
沉浸式光刻的聚焦控制过程中的噪声源研究
作者:
Munson Jasper Paul
;
Brown Jay
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
PSFM;
correction map;
focus;
immersion;
lithograpy;
substrate;
48.
Increase fab capacity: With predictive short-interval scheduling
机译:
增加晶圆厂产能:采用预测性的短间隔调度
作者:
Hanny David
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
49.
Extendible scanner platforms for mass production, now and in the future
机译:
可扩展的扫描仪平台,可用于现在和将来的批量生产
作者:
Khorram Hamid
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
AF;
CDU;
CDU Master;
DPF;
DPT;
GRP;
OPC;
S620D;
SMO;
sPURE;
50.
Non-contact handling and transportation for substrates and microassembly using ultrasound-air-film-technology
机译:
使用超声波-空气膜技术对基板和微组件进行非接触式处理和运输
作者:
Reinhart Gunther
;
Heinz Michael
;
Stock Johannes
;
Zimmermann Josef
;
Schilp Michael
;
Zitzmann Adolf
;
Hellwig Jens
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Air-Film;
Microassembly;
Non-contact-Handling;
PV-Thin-Film;
Ultrasonic;
Ultrasound;
51.
200mm Fab AMHS improvement during aggressive ramp
机译:
积极进取时可提高200mm晶圆厂AMHS
作者:
Sylvain Bouhnik
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
AMHS;
Loading;
Simulation;
52.
Lithography cost savings through resist reduction and monitoring program
机译:
通过减少抗蚀剂和监控程序来节省光刻成本
作者:
Couteau Terri
;
Lindauer Scott
;
Stewart Chris
;
Braggin Jennifer
;
Bjornberg Brent
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
photo dispense;
photolithography;
return on investment;
53.
Wafer placement repeatibility and robot speed improvements for bonded wafer stacks used in 3D integration
机译:
3D集成中使用的键合晶圆堆栈的晶圆放置重复性和机械手速度提高
作者:
Rudack Andrew C.
;
Dailey Michael
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
3D;
3D interconnect;
robot end effector;
54.
Automated SEM Offset using programmed defects
机译:
使用已编程的缺陷自动进行SEM偏移
作者:
Patterson Oliver D.
;
Stamper Andrew
;
Hahn Roland
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
SEM Alignment;
defect offset;
deskew;
review SEM;
55.
Post etch killer defect characterization and reduction in a self-aligned double patterning technology
机译:
自对准双图案技术减少蚀刻后缺陷的特征并减少缺陷
作者:
Lee Hong-Ji
;
Lin Sun-Yi
;
Lin I-Ting
;
Wei Kuo-Liang
;
Chang Sheng-Yuan
;
Lian Nan-Tzu
;
Yang Tahone
;
Chen Kuang-Chao
;
Lu Chih-Yuan
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
SADP;
defect characterization;
defect reduction;
post etch defect;
yield improvement;
56.
A system to optimize inline defect detection using short loop testchips leading to faster yield learning
机译:
一种使用短循环测试芯片优化在线缺陷检测的系统,可加快成品率学习
作者:
Yang Tanya
;
Lee Hun Chow
;
Lim Victor
;
Gn Fang Hong
;
Mardiyono Tri
;
Wang Qionghan
;
Nguyen Long Phan
;
Li Fei
;
Zhao Sa
;
Inani Anand
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
CV® testchips;
array mode inspection;
defect pareto;
inline inspection;
short loop;
57.
A quality metric for defect inspection recipes
机译:
缺陷检查配方的质量指标
作者:
Buengener Ralf
;
Lee Julie L.
;
Trapp Brian M.
;
Rudy John A.
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Defect inspection;
defect of interest;
non visual;
quality;
recipe;
58.
Managing data for a zero defect production: The contribution of manufacturing automation to a corporate strategy
机译:
管理零缺陷生产的数据:制造自动化对公司战略的贡献
作者:
Schmid Gottfried
;
Hanitzsch Tilmann
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Analysis;
aggregation;
automated;
automotive;
categorization;
configurability;
detection;
equipment;
functional;
inline;
measurement;
metrology;
monitoring;
production;
results;
statistical;
test;
tracequality;
visualization;
yield;
59.
The Deployment Page: Integrated real time views of tools, operations, and lots: ASMC 2011 data management
机译:
部署页面:工具,操作和批次的集成实时视图:ASMC 2011数据管理
作者:
Antonovich Henry
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
60.
Cycle time prediction in wafer fabrication line by applying data mining methods
机译:
应用数据挖掘方法预测晶圆生产线的周期时间
作者:
Tirkel Israel
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Cycle Time prediction;
Data Mining;
Machine Learning;
semiconductor wafer fabrication;
61.
Recent innovations in CMOS image sensors
机译:
CMOS图像传感器的最新创新
作者:
Fontaine Ray
会议名称:
《22nd annual IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2011》
|
2011年
关键词:
Advanced Materials;
Advanced Processes;
CMOS Image Sensors;
意见反馈
回到顶部
回到首页