首页> 外文期刊>IEEE transactions on very large scale integration (VLSI) systems >Ravel-XL: a hardware accelerator for assigned-delay compiled-code logic gate simulation
【24h】

Ravel-XL: a hardware accelerator for assigned-delay compiled-code logic gate simulation

机译:Ravel-XL:用于分配延迟的编译码逻辑门仿真的硬件加速器

获取原文
获取原文并翻译 | 示例
           

摘要

Ravel-XL is a single-board hardware accelerator for gate-level digital logic simulation. It uses a standard levelized-code approach to statically schedule gate evaluations. However, unlike previous approaches based on levelized-code scheduling, it is not limited to zero- or unit-delay gate models and can provide timing accuracy comparable to that obtained from event-driven methods. We review the synchronous waveform algebra that forms the basis of the Ravel-XL simulation algorithm, present an architecture for its hardware realization, and describe an implementation of this architecture as a single VLSI chip. The chip has about 900000 transistors on a die that is approximately 1.4 cm/sup 2/, requires a 256 pin package and is designed to run at 33 MHz. A Ravel-XL board consisting of the processor chip and local instruction and data memory can simulate up to one billion gates at a rate of approximately 6.6 million gate evaluations per second. To better appreciate the tradeoffs made in designing Ravel-XL, we compare its capabilities to those of other commercial and research software simulators and hardware accelerators.
机译:Ravel-XL是用于板级数字逻辑仿真的单板硬件加速器。它使用标准的分级代码方法来静态调度门评估。但是,与以前的基于分级代码调度的方法不同,它不限于零延迟或单位延迟门模型,并且可以提供与从事件驱动方法获得的时序精度相当的时序精度。我们回顾了构成Ravel-XL仿真算法基础的同步波形代数,介绍了其硬件实现的架构,并将该架构的实现描述为单个VLSI芯片。该芯片的管芯上有大约900000个晶体管,其速度约为1.4 cm / sup 2 /,需要256引脚封装,并设计为以33 MHz运行。由处理器芯片,本地指令和数据存储器组成的Ravel-XL板可模拟多达10亿个门,速率约为每秒660万门评估。为了更好地理解在设计Ravel-XL时所做出的权衡,我们将其功能与其他商业和研究软件模拟器以及硬件加速器的功能进行了比较。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号