首页> 外文期刊>IEEE transactions on very large scale integration (VLSI) systems >System-level power consumption modeling and tradeoff analysis techniques for superscalar processor design
【24h】

System-level power consumption modeling and tradeoff analysis techniques for superscalar processor design

机译:用于超标量处理器设计的系统级功耗建模和权衡分析技术

获取原文
获取原文并翻译 | 示例
           

摘要

This paper presents systematic techniques to find low-power high-performance superscalar processors tailored to specific user applications. The model of power is novel because it separates power into architectural and technology components. The architectural component is found via trace-driven simulation, which also produces performance estimates. An example technology model is presented that estimates the technology component, along with critical delay time and real estate usage. This model is based on case studies of actual designs. It is used to solve an important problem: decreasing power consumption in a superscalar processor without greatly impacting performance. Results are presented from runs using simulated annealing to reduce power consumption subject to performance reduction bounds. The major contributions of this paper are the separation of architectural and technology components of dynamic power the use of trace-driven simulation for architectural power measurement, and the use of a near-optimal search to tailor a processor design to a benchmark.
机译:本文提出了系统的技术,以找到针对特定用户应用量身定制的低功耗高性能超标量处理器。权力模型是新颖的,因为它将权力分为架构和技术组件。通过跟踪驱动的仿真可以找到架构组件,该仿真还可以产生性能估计。提出了一个示例技术模型,该模型可估算技术组成以及关键的延迟时间和不动产使用情况。该模型基于实际设计的案例研究。它用于解决一个重要问题:在不显着影响性能的情况下降低超标量处理器的功耗。给出了使用模拟退火运行的结果,以降低功耗,并降低性能。本文的主要贡献是将动态电源的架构和技术组件分离,使用迹线驱动的仿真进行架构功率测量,以及使用接近最佳的搜索来将处理器设计调整为基准。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号