首页> 外文学位 >Algorithms for the scaling toward nanometer VLSI physical synthesis.
【24h】

Algorithms for the scaling toward nanometer VLSI physical synthesis.

机译:向纳米级VLSI物理合成扩展的算法。

获取原文
获取原文并翻译 | 示例

摘要

Along the history of Very Large Scale Integration (VLSI), we have successfully scaled down the size of transistors, scaled up the speed of integrated circuits (IC) and the number of transistors in a chip - these are just a few examples of our achievement in VLSI scaling. It is projected to enter the nanometer (10-9m) scale era in the nearest future. At the same time, the scaling has imposed new challenges to physical synthesis. Among all the challenges, this thesis focuses on the following problems: (1) Increasingly domination of interconnect delay leads to a need in interconnect-centric design flows; (2) Different design stages (e.g. floorplanning, placement and global routing) have unmatched timing estimation, which brings difficulty in timing closure; (3) More and more VLSI circuits are designed in architectural styles, which require a new set of algorithms.;The paper consists of two parts, each of which focuses on several specific problems in VLSI physical synthesis when facing the new challenges. (1) Place and route aware buffer Steiner tree construction. Efficient techniques are presented for the problem of buffered interconnect tree construction under blockage and routing congestion constraint. This part also contains timing estimation and buffer planning for global routing and other early stages such as floorplanning. A novel path based buffer insertion scheme is also included, which can overcome the weakness of the net based approaches. (2) Circuit clustering techniques with the application in Field-Programmable Gate Array (FPGA) technology mapping. The problem of timing driven n-way circuit partitioning with application to FPGA technology mapping is studied and a hierarchical clustering approach is presented for the latest multi-level FPGA architectures. Moreover, a more general delay model is included in order to accurately characterize the delay behavior of the clusters and circuit elements.
机译:沿着超大规模集成(VLSI)的历史,我们成功缩小了晶体管的尺寸,扩大了集成电路(IC)的速度和芯片中晶体管的数量-这些只是我们取得成就的几个例子在VLSI缩放中。预计在不久的将来将进入纳米(10-9m)规模时代。同时,缩放对物理合成提出了新的挑战。在所有挑战中,本文着重于以下问题:(1)互连延迟的日益增加导致对以互连为中心的设计流程的需求; (2)不同的设计阶段(例如平面图,布局和全局布线)具有无与伦比的时序估计,这给时序收敛带来了困难; (3)越来越多的VLSI电路以架构形式进行设计,这需要一套新的算法​​。本文分为两个部分,每个部分着眼于面对新挑战时VLSI物理综合中的几个具体问题。 (1)放置和路由感知缓冲区Steiner树的构造。针对阻塞和路由拥塞约束下的缓冲互连树构造问题,提出了有效的技术。此部分还包含用于全局路由和其他早期阶段(如布局规划)的时序估计和缓冲区规划。还包括一种新颖的基于路径的缓冲区插入方案,该方案可以克服基于网络的方法的缺点。 (2)电路集群技术及其在现场可编程门阵列(FPGA)技术映射中的应用。研究了时序驱动的n路电路划分及其在FPGA技术映射中的应用问题,并提出了一种针对最新的多级FPGA架构的分层聚类方法。此外,为了更准确地描述集群和电路元件的延迟行为,还包括了一个更通用的延迟模型。

著录项

  • 作者

    Sze, Chin Ngai.;

  • 作者单位

    Texas A&M University.;

  • 授予单位 Texas A&M University.;
  • 学科 Engineering Electronics and Electrical.
  • 学位 Ph.D.
  • 年度 2005
  • 页码 156 p.
  • 总页数 156
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号