首页> 中文学位 >用于MEMS气体传感器的控制接口电路的设计与实现
【6h】

用于MEMS气体传感器的控制接口电路的设计与实现

代理获取

目录

声明

摘要

图目录

表目录

符号说明

第1章绪论

1.2国内外研究现状及发展趋势

1.2.1气体检测系统后端检测电路结构

1.2.2基于电阻分压法的后端检测电路研究现状

1.2.3基于RTF法的后端检测电路研究现状

1.2.4气体检测系统后端检测电路发展趋势

1.3芯片设计流程

1.4论文主要研究内容与创新之处

1.4.1研究内容

1.4.2创新之处

1.5论文结构安排

第2章基于电阻分压法的控制接口电路设计

2.1芯片整体架构

2.2芯片模拟部分

2.2.1测量电路

2.2.2信号转换电路

2.2.3电源管理模块

2.3芯片数字部分

2.3.1整体架构

2.3.2 CONTROL模块

2.3.3 I2C SLAVE模块

2.3.4 CLKGEN模块与TPG模块

2.4仿真及结果分析

2.4.1仿真平台的搭建

2.4.2仿真分析

2.5本章小结

第3章基于RTF法的控制接口电路设计

3.1芯片整体架构

3.2芯片模拟部分

3.2.1 RTF电路基本原理

3.2.2 RTF电路的实现

3.3芯片数宇部分

3.3.1整体架构

3.3.2 FRETEST模块

3.3.3 CONTROL模块

3.3.4数据交换模块

3.4仿真及结果分析

3.5本章小结

第4章芯片的验证与测试

4.1.1 I2C主机设计

4.1.2基于电阻分压法控制接口电路的FPGA验证

4.1.3基于RTF法控制接口电路的FPGA验证

4.2芯片测试

4.2.1单芯片测试

4.2.2联调测试

4.3气味识别应用

4.4本章小结

第5章总结与展望

5.1总结

5.2展望

参考文献

致谢

在读期间发表的学术论文与取得的其他研究成果

展开▼

著录项

  • 作者

    汪进;

  • 作者单位

    中国科学技术大学;

  • 授予单位 中国科学技术大学;
  • 学科 电子科学与技术
  • 授予学位 硕士
  • 导师姓名 许磊;
  • 年度 2020
  • 页码
  • 总页数
  • 原文格式 PDF
  • 正文语种 chi
  • 中图分类 铁路通信、信号;
  • 关键词

  • 入库时间 2022-08-17 11:21:46

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号