首页> 外文会议>SPIE Advanced Lithography Conference >Attenuated PSM for EUV: Can they mitigate 3D Mask Effects?
【24h】

Attenuated PSM for EUV: Can they mitigate 3D Mask Effects?

机译:euv减毒PSM:他们可以减轻3D掩码效果吗?

获取原文

摘要

The understanding, characterization and mitigation of 3D mask effects including telecentricity errors, contrast fading and best focus shifts becomes increasingly important for the performance optimization of future extreme ultraviolet (EUV) projection systems and mask designs. The scattering of light at the absorber edges results in significant phase deformations, which impact the effective phase and the lithographic performance of attenuated phase shift mask (attPSM) for EUV. We employ rigorous mask and imaging simulations in combination with multi-objective optimization techniques to identify the most appropriate material properties, mask and source geometries and to explore the potential of attPSMs for future EUV imaging.
机译:3D掩模效应包括远心误差,对比度衰落和最佳焦点偏移的理解,表征和缓解对未来极端紫外(EUV)投影系统和掩模设计的性能优化变得越来越重要。吸收器边缘处的光的散射导致显着的相变,其影响EUV的减毒相移掩模(Attpsm)的有效相和光刻性能。我们采用严格的掩模和成像模拟与多目标优化技术相结合,以识别最合适的材料特性,掩模和源几何形状,并探索未来EUV成像的atpsms的潜力。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号