首页> 中国专利> 在半导体设备工具中利用神经网络进行腔室匹配

在半导体设备工具中利用神经网络进行腔室匹配

摘要

服务器通过将符合规格的第一处理腔室的一个或多个传感器的第一组输入时序数据馈送到神经网络来训练神经网络,以产生相对应的第一组输出时序数据。服务器计算第一误差。服务器将第二组输入时序数据从与被测试的第二处理腔室相关联的相对应的一个或多个传感器馈送到经训练的神经网络,以产生相对应的第二组输出时序数据。服务器计算第二误差。响应于第二组输入时序数据和相对应的第二组输出时序数据之间的第二误差与第一组输入时序数据和相对应的第一组输出时序数据之间的第一误差之间的差等于或超过阈值量,服务器声明被测试的第二处理腔室与规格内的第一处理腔室不匹配。

著录项

  • 公开/公告号CN113330463A

    专利类型发明专利

  • 公开/公告日2021-08-31

    原文格式PDF

  • 申请/专利权人 应用材料公司;

    申请/专利号CN202080010448.5

  • 申请日2020-01-06

  • 分类号G06N3/08(20060101);G06N3/04(20060101);H01L21/67(20060101);

  • 代理机构11006 北京律诚同业知识产权代理有限公司;

  • 代理人徐金国;赵静

  • 地址 美国加利福尼亚州

  • 入库时间 2023-06-19 12:24:27

说明书

技术领域

本文描述的实施方式大致上涉及分析时序轨迹以检测被测试的半导体处理腔室与已知符合规格的相对应半导体处理腔室之间的不匹配。

背景技术

在半导体工业中,一直存在有对改进的处理可重复性和控制的需求。由于新一代集成电路采用的特征尺寸小于前几代所设想的,因此对集成电路制造处理提出了更高的要求。在等离子体环境中沉积和蚀刻半导体基板的一层或多层是集成电路制造中最常见的两个步骤。为了确保正确量和品质的膜被沉积或蚀刻,需要在生产基板处理之前、之中、和之后全面地监控处理腔室的等离子体状态。在生产基板处理之前的全面腔室监控允许执行适当的腔室鉴定。在生产基板处理期间的全面腔室监控可允许校正终点和故障检测。若在基板处理期间检测到故障,则在生产基板处理之后的全面腔室监控可以诊断故障源。

为了确保正确的量和品质的膜被沉积或蚀刻,需要监控处理腔室,并将其与“参考”腔室(“良好”腔室或“绝佳”腔室)进行比较。用于比较腔室的程序有时称为腔室匹配。腔室匹配是指一个或多个处理腔室的输出(根据所生产装置的性质)在规格内完全匹配的条件。在半导体制造中,控制所使用的生产处理设备,使得其变量保持在一定的操作限制内。这些限制可能非常狭窄,且通常会在处理的不同步骤、阶段、或时期中变化。在处理期间未能保持在这些操作限制内可能容易导致正在处理的装置和/或晶片的损失或损坏。

在腔室使用期间的不同时间使用腔室匹配程序。当新制造处理腔室时,需要对腔室进行鉴定,这通常包括匹配。一旦匹配腔室之后,即可将腔室运送到半导体制造设施。一旦腔室到达半导体制造设施之后,在处理生产材料之前,腔室被重新组装并再次“鉴定”。另外,在半导体制造设施中,等离子体沉积或蚀刻腔室可以潜在地用于运行用于不同基板的不同处理配方。在更改处理配方之前,通常需要再次对腔室进行“匹配”,以确保在发生处理配方更改之前腔室能够正常运行。最后,生产腔室必须进行定期维护或清洁。在维护或腔室清洁之后,需要在运行生产晶片之前再次“匹配”腔室。对于新一代的半导体制造,需要利用全面等离子体监控的严格的腔室匹配技术,来确保处理的可重复性和控制。

大部分现有的腔室匹配技术都需要对腔室深入的了解,以便能够对多变量传感器数据执行复杂的特征工程,因此而受到过度相依于配方、扩展速度太慢、以及开发和维护成本太高的问题的困扰。此外,大部分现有技术不能同时处理多腔室匹配。

因此,需要一种方法提供更精确的腔室匹配的方式。

发明内容

公开了一种用于匹配处理半导体基板的处理腔室的方法。在一个实施中,方法包括以下步骤:通过将符合规格的第一处理腔室的一个或多个传感器的第一组输入时序数据馈送到神经网络来训练神经网络,以产生相对应的第一组输出时序数据。方法进一步包括以下步骤:计算第一组输入时序数据与相对应的第一组输出时序数据之间的第一误差。方法进一步包括以下步骤:将第二组输入时序数据从与被测试的第二处理腔室相关联的相对应的一个或多个传感器馈送到经训练的神经网络,以产生相对应的第二组输出时序数据。方法进一步包括以下步骤:计算第二组输入时序数据与相对应的第二组输出时序数据之间的第二误差。方法进一步包括以下步骤:响应于第二误差和第一误差之间的差等于或超过阈值量,声明被测试的第二处理腔室与符合规格的第一处理腔室不匹配。

在另一实施中,提供一种计算机系统,其包括存储器和处理器,处理器经配置以执行用于匹配处理半导体基板的处理腔室的方法。计算机系统通过将符合规格的第一处理腔室的一个或多个传感器的第一组输入时序数据馈送到神经网络来训练神经网络,以产生相对应的第一组输出时序数据。计算机系统计算第一组输入时序数据与相对应的第一组输出时序数据之间的第一误差。计算机系统将第二组输入时序数据从与被测试的第二处理腔室相关联的相对应的一个或多个传感器馈送到经训练的神经网络,以产生相对应的第二组输出时序数据。计算机系统计算第二组输入时序数据与相对应的第二组输出时序数据之间的第二误差。响应于第二误差和第一误差之间的差等于或超过阈值量,计算机系统声明被测试的第二处理腔室与符合规格的第一处理腔室不匹配。

在又一实施中,提供了一种非暂时性计算机可读取介质,其包括指令,指令用以执行用于匹配处理半导体基板的处理腔室的方法。方法包括以下步骤:通过将符合规格的第一处理腔室的一个或多个传感器的第一组输入时序数据馈送到神经网络来训练神经网络,以产生相对应的第一组输出时序数据。方法进一步包括以下步骤:计算第一组输入时序数据与相对应的第一组输出时序数据之间的第一误差。方法进一步包括以下步骤:将第二组输入时序数据从与被测试的第二处理腔室相关联的相对应的一个或多个传感器馈送到经训练的神经网络,以产生相对应的第二组输出时序数据。方法进一步包括以下步骤:计算第二组输入时序数据与相对应的第二组输出时序数据之间的第二误差。方法进一步包括以下步骤:响应于第二误差和第一误差之间的差等于或超过阈值量,声明被测试的第二处理腔室与符合规格的第一腔室不匹配。

附图说明

为了可以详细理解本公开内容的上述特征的方式,可以通过参考实施方式来获得对简要概述于上的实施方式进行更具体的描述,其中一些实施方式在随附附图中示出。然而,应当注意,随附附图仅示出本公开内容的典型实施方式,且因此不应将其视为限制其范围,因为本公开内容可承认其他等效的实施方式。

图1根据本公开内容的一个实施方式示意性地示出了用于基板处理的多个制造工具。

图2描绘了可以在其中实施本公开内容的实施方式的网络环境。

图3示出了将在本公开内容的实施方式中采用的深度自动编码器。

图4以模块方式示出了深度自动编码器的架构。

图5示出了根据本公开内容的实施方式的腔室匹配分析引擎的元件。

图6示出了用于检测用于一个绝佳腔室的半导体制造工具的处理腔室和与绝佳腔室相同类型的被测试的一个处理腔室之间的不匹配的方法的一个实施方式。

图7示出了用于检测用于一个绝佳腔室的半导体制造工具的处理腔室和与绝佳腔室相同类型的被测试的多个处理腔室之间的不匹配的方法的一个实施方式。

图8示出了用于检测用于不同类型的多个绝佳腔室的半导体制造工具的处理腔室和与绝佳腔室相对应不同类型的被测试的多个处理腔室之间的不匹配的方法的一个实施方式。

图9描绘了可以以其实施本公开内容的实施方式的示例计算机系统。

为了便于理解,在可能的情况下,已使用相同的附图标记来表示附图中共同的相同元件。可以设想的是,一个实施方式的元件和特征可以有益地并入其他实施方式中而无需进一步叙述。

具体实施方式

以下公开内容描述了用于在从制造工具的多个传感器接收的时序数据中检测异常的方法。在以下描述和图1至图7中阐述某些细节以提供对本公开内容的各种实施方式的透彻理解。在以下公开内容中没有对描述经常与等离子体蚀刻相关联的为人熟知的结构和系统的其他细节进行阐述,以避免不必要地使各种实施方式的描述不清楚。

附图中所示的许多细节、尺寸、元件、和其他特征仅是特定实施方式的说明。因此,在不脱离本公开内容的精神或范围的情况下,其他实施方式可以具有其他细节、元件、尺寸、角度、和特征。此外,可以在没有以下描述的几个细节的情况下实施本公开内容的其他实施方式。

要实现生产设备的正常运作通常涉及在处理之前对设备的初始设置和配置两者,以及在处理期间对设备的监控和控制。对于处理腔室,初始设置可以包括腔室匹配,如上所述,腔室匹配是将要投入使用的腔室的性能与一组已知良好的腔室的数据进行比较的方法。为了监控和控制处理腔室,通常使用故障检测方法。通过腔室故障检测,数据被监控和分析以识别相对于腔室的已知良好(正常)运行的行为间的偏差。

在通过腔室匹配确认(及校正)处理设备性能之后,可以使用监控和控制处理的方法来操作腔室。这种方法包括故障检测,其中从处理工具监控数据并分析行为偏差。最简单的方式之一是使用统计处理控制或SPC,其中根据控制的上限和下限来监控某些量值。这样的控制限制可以从简单的固定值到具有复杂定义的范围。若量值超过一定限制,则认为腔室“故障”,并暂停处理。这种方法可确保不会对基板进行错误处理,且因此而减少废料损失,并立即将问题通知腔室操作人员。在用于基板处理的配方执行的情况下,由于处理腔室在处理基板时会在其操作空间的一系列不同区域中操作,因此SPC方法受到限制。以简单的SPC方法很难追踪配方执行(和行为)的动态。

在制造环境中,处理配方被一般地调整以匹配计量值,例如临界尺寸(criticaldimension,CD)和蚀刻速率(etch rate,ER)。然而,此方法需要至少从处理线中取出经处理的基板以供测试和计量,从而导致生产率下降。此外,某些计量技术本质上是破坏性的,从而由于破坏基板来验证蚀刻速率(ER),甚至更重要的是临界尺寸(CD),而导致收益损失。存在对诊断的需求,此诊断将允许确定腔室匹配和处理调整,而无需将经处理的基板耗时地运输(route)到计量站,或者是甚至更高成本的破坏性计量技术。这种新颖的诊断方式将利用从等离子体处理腔室中现有的传感器收集到的数据,以确保腔室匹配以及合格的临界尺寸(CD)和蚀刻速率(ER)。

在现有技术的腔室匹配中,对模块级传感器的值进行匹配,例如,对匹配网络上的电容位置进行匹配,不能保证在装置生产期间的等离子体参数的匹配,因此不能保证所生产的装置特性的匹配。传感器间的差异通常会使传感器值的直接匹配变得不可用。此外,统计故障检测系统的使用要求在正常状态和异常状态之间(例如在正常和异常等离子体状态之间)限定的使用者定义的边界,如由经处理的装置获得的CD计量数据所定义。由于参数(受控制和经量测)与临界尺寸(CD)之间不存在直接连结,因此此类系统存在过度诊断故障的趋势。换句话说,实际上,当所生产的装置仍符合规格时,可能会发生故障检测的频率的增加。例如,某些良性参数偏移可能会触发错误的故障检测。或者,在腔室匹配、维护后微调等方面的应用而言,不会损害所生产的装置的特性的某些参数的轻微不匹配可能会导致维护人员长时间不将腔室重新投入生产,导致不必要的收入损失。为了解决上述缺点,本文所述的实施方式在正常状况与故障或不匹配的腔室状态之间建立了更可靠的边界。

在硅基板上制造集成电路涉及一系列不同的处理操作,这些操作可以在包括多个处理腔室的单个处理系统或“工具”中执行。此外,这些多个硅基板处理操作在一定的时间间隔内发生。处理可包括从第一操作到第二操作的过渡。时序轨迹数据是在时间间隔,包括过渡(例如,时序的过渡)上收集的数据。与被测试的腔室的关键尺寸和制造处理(例如蚀刻率)的测量相关联的传感器的时序轨迹数据可用作与“绝佳”腔室或已知符合规格的腔室中的相对应的时序数据进行比较的代理。

时序轨迹数据分析提供了监控处理传感器时序轨迹数据中时序异常的功能,以用于腔室匹配。时序轨迹数据分析可检测到罕见的、奇怪的、和/或非预期的序列(例如,时序轨迹数据的曲线的形状、大小、位置等(相对于样本绘制的值)),这些序列无法经由传统方法检测到。在一个实施方式中,深度自动编码器型神经网络被训练以最小化训练时序轨迹数据的重建误差。服务器经配置以将已知符合规格(例如,“绝佳”腔室)的第一处理腔室的一个或多个传感器的第一组输入时序数据馈送到神经网络,以产生对应的第一组经训练的输出时序数据。服务器进一步经配置以计算第一组输入时序数据与相对应的第一组经训练的输出时序数据之间的第一误差。服务器进一步经配置以将第二组输入时序数据从与被测试的第二处理腔室相关联的相对应的一个或多个传感器馈送到经训练的神经网络,以产生相对应的第二组输出时序数据。服务器进一步经配置以计算第二组输入时序数据与相对应的第二组输出时序数据之间的第二误差。若第二误差和第一误差之间的差等于或超过阈值量,则服务器声明被测试的第二处理腔室与符合规格的第一处理腔室不匹配。

图1根据本公开内容的一个实施方式示意性地示出了用于包括腔室匹配的基板处理的制造工具101。可设想到,本文描述的方法可以在经配置以执行处理序列的其他工具中实施。例如,图1中体现的制造工具101是可从加州圣塔克拉拉的应用材料公司(AppliedMaterials,Inc)商购的

制造工具101代表可以从中获得数据的一个或多个工具。制造工具101包括一组真空密封的处理腔室和工厂接口102。多个处理腔室108、110、114、112、116、118执行个别的处理步骤。工厂接口102通过装载锁定腔室120耦接到传送腔室104。

在一个实施方式中,工厂接口202包括至少一个对接站、至少一个基板传送端效器138和至少一个基板对准器140。对接站经配置以接受一个或多个前开式标准舱(frontopening unified pod,FOUP)128。在图1的实施方式中示出了两个FOUP 128A、128B。基板传送端效器138经配置以将基板从工厂接口102传送到装载锁定腔室120。

装载锁定腔室120具有耦接到工厂接口102的第一端口和耦接到第一传送腔室104的第二端口。装载锁定腔室120耦接到压力控制系统,压力控制系统根据需求抽气并使腔室120排气,以促进基板在传送腔室104的真空环境和工厂接口102的实质环境(例如,大气)的环境之间通过。

第一传送腔室104和第二传送腔室103分别具有设置于其中的第一端效器107和第二端效器105。两个基板传送平台106A、106B设置在传送腔室104中,以促进在端效器105、107之间的基板传输。平台106A,106B可以对传送腔室103、104开放,或者与传送腔室103、104选择性地隔离(即密封),以允许在每个传送腔室103、104中保持不同的操作压力。

设置在第一传送腔室104中的端效器107能够在装载锁定腔室120、处理腔室116、118和基板传送平台106A、106B之间传送基板。设置在第二传送腔室103中的端效器105能够在基板传送平台106A、106B与处理腔室112、114、110、108之间传送基板。

在一个实施方式中,处理腔室108、110、114、112、118、116中的一个或多个可被指定为一个或多个“绝佳腔室”(例如,110),而其余的腔室108、114、112、118、116是用于与绝佳腔室进行匹配的被测试的处理腔室。在另一个实施方式中,所有处理腔室108、110、114、112、118、116可以被指定为被测试的处理腔室。可以提供一个或多个分离的绝佳腔室(未示出)以测试处理腔室108、110、114、112、118、116中的一个或多个处理腔室。在又一实施方式中,从一种或多种类型的一个或多个绝佳腔室取得的轨迹数据可以储存在数据库中,以供服务器(未示出)与从处理腔室108、110、114、112、118、116取得的数据进行比较,并通过服务器使用神经网络进行处理而用于将在下文描述的腔室匹配的。

在一些实施方式中,制造工具101与制造执行系统(manufacturing executionsystem,MES)相关联。数据可包括,例如,各种传感器的时序轨迹。可以在例如服务器之类的中央位置处累积和分析数据,并且用以基于时序轨迹数据实时地检测腔室的匹配或不匹配。

可以将轨迹数据从服务器提供给可以分析的MES,且因此,可以根据需要对问题采取校正行为,该问题是由于在时序轨迹数据中导致声明腔室之间的不匹配的所检测到的异常而识别出的。服务器也可基于对数据的分析(例如,基于在传感器的时序轨迹数据与从其他测量基板参数的传感器获得的计量数据之间识别相关性)来确定要采取的适当校正行为,并提供指令以执行校正行为。在一些实施方式中,MES可以基于预测信息而自动地采取校正行为(例如,重新校准或调整光刻工具的参数、为基板或其他合适的计算装置重做一部分制造处理)。在某些实施方式中,若预测信息识别出基板的一个或多个参数的问题,则可以通过分析基板(例如,使用计量工具)来验证此问题。若通过分析基板确认了该问题,则可以接着采取校正行为(例如,由MES手动或自动)。

图2描绘了可以在其中实施本公开内容的实施方式的网络环境200。如图所示,网络环境200包括服务器220,服务器经由网络210连接到另一服务器230,另一服务器230连接到一个或多个制造工具101。网络210可以,例如,包括互联网。在另一个实施方式中,制造工具101也直接连接到服务器220。

服务器220可以包括计算装置,例如机架服务器、桌上型计算机或膝上型计算机、行动电话、平板计算机、或其他合适的计算装置。如图所示,服务器220包括腔室匹配分析引擎222,腔室匹配分析引擎222可执行本文描述的与对匹配腔室做出判定和预测有关的操作。腔室匹配分析引擎222识别数据源206A-N(例如,传感器),该数据源限定了系统和/或用以监控系统(例如制造工具101)的系统。

例如,腔室匹配分析引擎222可以分析从MES 232或直接从制造工具101接收的时序传感器数据,以便检测、分析、和验证腔室匹配或不匹配。

例如,腔室匹配分析引擎222可以分析处理和从MES 232或直接从制造工具101内应用的传感器接收的基板传感器信息,以便检测一个或多个处理腔室的传感器轨迹数据与数据库240中储存的相对应的绝佳腔室的相对应的传感器轨迹数据的不匹配。在一些实施方式中,服务器220和数据库240与制造工具101分开。在其他实施方式中,服务器220和数据库240可以是制造工具101的一部分,或者可以是离线服务器。

例如,腔室匹配分析引擎222可以从MES 232接收时序传感器轨迹数据,此时序传感器轨迹数据表示从与被配置为处理硅基板的制造工具101相关联的多个传感器收集的温度、气流、或RF功率电流等。腔室匹配分析引擎222可以利用深度自动编码器型神经网络,其经训练以最小化输入训练时序数据的重建误差。

腔室匹配分析引擎222可以将已知符合规格的第一处理腔室(例如,绝佳腔室)的一个或多个传感器的第一组输入时序数据馈送给神经网络(直接从制造工具101的绝佳腔室馈送或从储存绝佳腔室轨迹的数据库240馈送),以产生相对应的第一组经训练的输出时序数据。腔室匹配分析引擎222进一步经配置以计算第一组输入时序数据与相对应的第一组经训练的输出时序数据之间的第一误差。腔室匹配分析引擎222进一步经配置以将第二组输入时序数据从与被测试的第二处理腔室相关联的相对应的一个或多个传感器馈送到经训练的神经网络,以产生相对应的第二组输出时序数据。腔室匹配分析引擎222进一步经配置以计算第二组输入时序数据与相对应的第二组输出时序数据之间的第二误差。若第二误差和第一误差之间的差等于或超过阈值量,则腔室匹配分析引擎222声明被测试的第二处理腔室与符合规格的第一处理腔室不匹配。若第二误差和第一误差之间的差低于阈值量,则腔室匹配分析引擎222声明被测试的第二处理腔室与符合规格的第一处理腔室匹配。

在一个示例中,计算误差是腔室的轨迹数据的总分布的计算均方差。阈值量可以设置为训练重建的均方差的平均值加上三个标准差(sigma)作为截止值。腔室匹配分析引擎222可以指示制造系统(MES)232采取校正行为以去除导致不匹配的被测试腔室的一个或多个时序轨迹数据中的异常的来源。

腔室匹配分析引擎222可以将关于传感器数据中的未来异常的预测信息提供给MES 232。在一些实施方式中,腔室匹配分析引擎222也可基于数据判定针对处理或单个基板要采取的校正行为。例如,腔室匹配分析引擎222可以识别与温度传感器(该温度传感器与特定基板参数问题相关)相关联的测量异常及工具参数之间的相关性,并且判定应将工具参数调整一定量。此外,腔室匹配分析引擎222可以判定要在基板上执行以将一个或多个预测参数带入可接受范围内的行为(例如,校正覆盖标记(overlay marks)、剥离、和重新涂覆基板等)。

关于参数的与基板品质相关的预测信息可以允许人员或MES 232改善制造处理(例如,可以改进光刻、蚀刻、沉积、和平坦化以实现可预测的特性)。

服务器230可包括计算装置,例如机架服务器、桌上型计算机或膝上型计算机、行动电话、平板计算机、或其他合适的计算装置。如图所示,服务器220包括MES 232,其可以执行本文描述的与管理硅基板的制造有关的操作。例如,MES 232可以协调由制造工具101执行的处理,并且可以收集来自制造工具101的数据(例如,工具参数、厚度、光学反射指数、吸收指数、强度、覆盖和对准数据、横跨基板的临界尺寸变化等)和来自制造工具101使用的传感器的时间轨迹。在一些实施方式中,数据可以是代表随着制造处理执行的传感器随时间的行为的时序轨迹。MES 232可以将此信息提供给腔室匹配分析引擎222(例如,通过网络210),其可以分析该信息并提供对不匹配的检测的验证。在一些实施方式中,腔室匹配分析引擎222可以向MES 232提供关于针对个别缺陷、制造工具101、或制造处理的其他方面要采取的校正行为的指令。在某些实施方式中,MES 232自动采取校正行为,例如通过指示制造工具101内的个别腔室的个别传感器来执行特定任务(例如,指示光刻工具剥离并重新涂覆基板以校正对准缺陷或指示沉积工具更改一个或多个参数)。

制造工具101可包括执行与制造硅基板有关的处理的一个或多个腔室。例如,制造工具101可以包括光刻腔室、蚀刻腔室、沉积腔室、和平坦化腔室。制造工具101可以与MES232通信,以便接收指令并提供来自特定腔室内的传感器的数据(例如,代表由与基板参数相关联的一个或多个传感器输出的值的时序数据,基板参数在制造期间由传感器随时间捕获)。

本公开内容的实施方式采用被称为深度自动编码器的人工神经网络架构。人工神经网络是受人脑的神经网络的操作原理启发的机器学习模型,且已广泛应用于分类和函数逼近的问题。与特定于任务的演算法相反,深度学习是基于学习数据表示的更广泛的机器学习方法家族的一部分。深度学习是一个类别的机器学习演算法,其使用多层的非线性处理单元的级联来进行特征提取和输入数据的转换。多层中的每个连续层都将前一层的输出用作为输入。接着训练多层以学习一组输入数据的表示(编码),通常是为了以无监督(unsupervised)(例如,模式分析)的方式进行降维的目的。

深度自动编码器是一种深度学习前馈神经网络,具有一层或多层的隐藏节点,此深度自动编码器中的连续层中所具有的节点通常少于编码的一半中的输入节点,而解码的一半通常具有与编码的一半镜像对称的结构。深度自动编码器的结构帮助嵌入式神经网络学习对应于一组训练数据的输入数据的最佳表示形式,此组训练数据来自绝佳腔室或符合规格的腔室的非异常输入传感器轨迹,并重建了输入数据以作为对应于输入传感器轨迹的输出数据。在一个实施方式中,以非异常传感器轨迹数据运行来训练深度自动编码器,以学习在深度自动编码器的输出端以重建误差最小的方式重现输入传感器轨迹数据所必需的最小特征组。更具体而言,学习是判定层之间的连接权重的处理,以使得在解决学习问题时使性能最大化。表示形式(representation)是每个传感器轨迹的正常签名(signature)。因此,自动编码器产生与输入一致的输出。

图3示出了将在本公开内容的实施方式中采用的深度自动编码器300。输入到深度自动编码器300的输入数据302包括传感器轨迹的时间切片(time slices),该传感器轨迹的时间切片来自与制造工具101相关联的多个传感器的多个时序轨迹数据的矩阵表示或来自储存于数据库240中的一个或多个绝佳腔室。深度自动编码器300可包括输入层304、一个或多个隐藏层306、中央瓶颈层308、输出层310、以及在这些层之间的全组连接(full setof connections)312。隐藏层306的结构相对于瓶颈层308对称,瓶颈层308具有最小数量的节点。瓶颈层308用于通过提取代表输入数据302的有限数量的特征来帮助神经网络300找到重建为输出数据314的输入数据302的最小表示。设计人员仅需要定义深度自动编码器300中的层数,以及每个层中应有多少个节点。深度自动编码器300利用来自绝佳腔室的没有异常的示例轨迹数据进行训练,并经配置以产生输出数据314,输出数据314是对应于输入数据302的多个轨迹的重建,其中输出数据314具有相对于输入轨迹的最小的重建误差(例如,均方差或MSE)。在示例中,最小化重建误差使馈送到神经网络300的训练时序轨迹数据与神经网络300的输出轨迹数据之间的均方差最小。针对由深度自动编码器300在重现输入传感器轨迹所必需的训练期间学习的全局和时间不变特征(global and timeinvariant features)的最小集合,将重建误差最小化。

最小化重建误差迫使深度自动编码器300进行降维,例如,通过学习如何识别对应于输入轨迹的输入数据302的全局和时间不变特征。深度自动编码器300学习将来自输入层304的数据压缩成短代码,并接着将此代码解压缩为与原始输入数据302紧密匹配的内容。

在对真实数据使用深度自动编码器300之前训练深度自动编码器300。深度自动编码器的训练演算法可总结如下:对于每个输入,执行前馈传递以计算所有隐藏层的启动,接着在输出层获得输出;测量与输入的偏差,最小化重建误差(例如,均方差)。接着,通过深度自动编码器300的节点将误差反向传播并执行权重更新。因此,隐藏层306的隐藏节点被训练为通过最小化重建误差来在输出处重建输入。结果,隐藏节点学习了重现输入轨迹所必需的输入轨迹的全局和时间不变特征。最小化重建误差(例如,均方差或MSE)产生了输出相对应的传感器信号的深度自动编码器300。

图4以模块方式示出了深度自动编码器300的架构400。训练深度自动编码器300或测试实际轨迹运行所需的多个传感器时序轨迹数据在被馈送至包含在腔室匹配分析引擎222中的深度自动编码器300中之前,由腔室匹配分析引擎222预处理。预处理包括将每个时序轨迹数据正规化到[0,1]的范围(最小-最大正规化,(x-min)/(max-min))。在方法的部署中,最小最大值仅来自训练运行。预处理进一步包括从矩阵402中在多个时间戳记处提取每个输入时序轨迹数据的多个滚动时间窗404(子矩阵),矩阵402包括来自所有时间戳记的所有的传感器的输入时序轨迹数据的值/时间戳记对,并将值/时间戳记数据V

矩阵402的大小取决于滚动时间窗404的长度(w)和步长。长度和步长是深度学习模型的超参数。

腔室匹配分析引擎222从多个滚动时间窗404中将一列的值V

在一个实施方式中,使用优化器以绝佳腔室的正常运行来训练深度自动编码器300。一种这样的优化器是适应性矩估计(adaptive moment estimation,Adam)优化器。Adam优化器是基于低阶矩的自适应性估计的用于随机目标函数的基于一阶梯度优化的算法。所有传感器上的时间窗的平均重建误差(例如,均方差)表示时间窗距离标称条件有多远,并且被用作异常指示符。

在其他实施方式中,可以基于以下因素采用其他网络结构超参数:层数、每层中有多少节点、滚动窗大小、神经网络的启动函数等。

一旦学习了最小特征组,就将一组实际的时序轨迹数据的运行馈送到深度自动编码器300,深度自动编码器300接着使用相同的滚动窗技术和深度自动编码器300压缩/解压缩技术来产生对应于输入数据302的最小重建误差版本的输出数据314。接着腔室匹配分析引擎222计算表示对应于输入时序轨迹的重建的输入数据的输出数据408(O

各个均方差时间戳记值MSE

接着可以汇总针对每次运行(或每个步骤)的每个时间戳记的平方差的平均值。若每次运行(或每个步骤)的均方差高于某个阈值,则运行(或步骤)被声明为在给定传感器中异常。

图5示出了根据本公开内容的实施方式的腔室匹配分析引擎222的元件。如所示,腔室匹配分析引擎222包括数据获取模块510,其可以从MES 232(例如,通过网络210)或数据库240获取数据。在替代的实施方式中,数据获取模块510直接从制造工具101的腔室内的传感器或从代表绝佳腔室的数据库240间接地获取时序轨迹数据。腔室匹配分析引擎222进一步包括数据预处理模块520,其可对时序轨迹进行预处理、对轨迹进行时间切片,并根据图6中描述的方法将时间切片馈送到深度自动编码器300。腔室匹配分析引擎222进一步包括不匹配验证模块530,其利用深度自动编码器300来判定在制造工具101的被测试腔室与数据库240中储存的绝佳腔室数据之间是否存在不匹配。被测试腔室与绝佳腔室之间的不匹配是基于被测试腔室的输出重建的时序轨迹和相对应的输入时序轨迹之间的均方差差异是否超过绝佳腔室的输出重建的时序轨迹和相对应的输入时序轨迹之间的均方差差异预定阈值量来声明的。腔室匹配分析引擎222进一步包括传输模块540,其可将诸如传感器信息和校正指令的数据传输至MES 232(例如,通过网络210)或其他目的地。

在另一实施方式中,腔室匹配分析引擎222可以检测用于一个绝佳腔室的半导体制造工具101的处理腔室和与绝佳腔室相同类型的被测试的多个处理腔室之间的不匹配,如以下结合图7所述。在又另一实施方式中,腔室匹配分析引擎222可以检测用于多个类型的多个绝佳腔室的半导体制造工具101的处理腔室和与绝佳腔室一样的多个类型的被测试的多个处理腔室之间的不匹配,如以下结合图8所述。

图6示出了用于检测用于一个绝佳腔室的半导体制造工具101的处理腔室和与绝佳腔室相同类型的被测试的一个处理腔室之间的不匹配的方法600的一个实施方式。方法600可以由处理逻辑来执行,处理逻辑可包括硬件(例如,电路系统、专用逻辑、可编程逻辑、微代码等)、软件(例如,在处理装置上运行的指令)、或其组合。在一个实施方式中,方法600由图2的腔室匹配分析引擎222执行。

在方块605,腔室匹配分析引擎222通过将符合规格(例如,绝佳腔室)的第一处理腔室的一个或多个传感器的第一组输入时序数据馈送到神经网络300来训练神经网络300,以产生相对应的第一组输出时序数据。在方块610,腔室匹配分析引擎222计算第一组输入时序数据与相对应的第一组输出时序数据之间的第一误差。在示例中,第一误差是均方差。

在方块615,腔室匹配分析引擎222将第二组输入时序数据从与被测试的(例如,制造工具101的)第二处理腔室相关联的相对应的一个或多个传感器馈送到经训练的神经网络300,以产生相对应的第二组输出时序数据。

每个输入时序轨迹数据都经过了最小-最大标准化处理(min-max normalized)。预处理进一步包括从包括有来自所有传感器的时间上所有时刻的输入时序轨迹的时间切片的矩阵中提取在时间上某个时刻的每个输入时序轨迹的输入时间切片(input timeslice),并将此时间切片作为输入数据馈送到深度自动编码器300。腔室匹配分析引擎222进一步从包括来自所有传感器的输入时序轨迹的时间切片的矩阵中提取输入向量,并将时间切片作为输入数据馈送到神经网络300。

在方块620,腔室匹配分析引擎222计算第二组输入时序数据与相对应的第二组输出时序数据之间的第二误差。在一个实施方式中,误差是均方差。在方块625处,若第二误差与第一误差之间的差等于或超过阈值量,则在方块630处,腔室匹配分析引擎222声明被测试的第二处理腔室与符合规格的第一处理腔室不匹配。在方块635,腔室匹配分析引擎222响应于声明不匹配而使校正行为发生。校正行为可以包括,例如,显示警示、产生声音警示、停止工具执行进一步的处理、或指示制造系统采取校正措施以消除所声明的不匹配的原因。

在方块625,若第二误差与第一误差之间的差低于阈值量,则在方块640处,腔室匹配分析引擎222声明被测试的第二处理腔室与符合规格的第一处理腔室匹配。在一个示例中,阈值量可以设置为训练重建的均方差的平均值加上三个标准差(sigma)作为截止值。

图7示出了用于检测用于一个绝佳腔室的半导体制造工具101的处理腔室和与绝佳腔室相同类型的被测试的多个处理腔室之间的不匹配的方法700的一个实施方式。方法700可以由处理逻辑来执行,处理逻辑可包括硬件(例如,电路系统、专用逻辑、可编程逻辑、微代码等)、软件(例如,在处理装置上运行的指令)、或其组合。在一个实施方式中,方法700由图2的腔室匹配分析引擎222执行。

在方块705,腔室匹配分析引擎222通过将符合规格(例如,绝佳腔室)的处理半导体基板的第一处理腔室的一个或多个传感器的第一组输入时序数据馈送到神经网络300来训练神经网络300,以产生相对应的第一组输出时序数据。在方块710,腔室匹配分析引擎222计算第一组输入时序数据与相对应的第一组输出时序数据之间的第一误差。在示例中,第一误差是均方差。

在方块715,腔室匹配分析引擎222将第二组输入时序数据从与符合规格的第一处理腔室相同类型的被测试的(例如,制造工具101的)多个第二处理腔室相关联的相对应的一个或多个传感器馈送到经训练的神经网络,以产生相对应的多个第二组输出时序数据。

在方块720,腔室匹配分析引擎222计算多个第二组输入时序数据与相对应的多个第二组输出时序数据之间的多个第二误差。在一个实施方式中,误差是均方差。在方块725,若多个第二误差的总和与第一误差之间的差等于或超过阈值量,则在方块730处,腔室匹配分析引擎222声明被测试的多个第二处理腔室与符合规格的第一处理腔室不匹配。在方块735,腔室匹配分析引擎222将被测试的一个或多个腔室为识别故障源。在方块740,腔室匹配分析引擎222响应于声明不匹配而使校正行为发生。校正行为可以包括,例如,显示警示、产生声音警示、停止工具执行进一步的处理、或指示制造系统采取校正措施以消除所声明的不匹配的原因。

在方块725处,若多个第二误差的加权总和与第一误差之间的差低于阈值量,则在方块745处,腔室匹配分析引擎222声明被测试的多个第二处理腔室中的每一者与符合规格的第一处理腔室匹配。在一个示例中,阈值量可以设置为训练重建的均方差的平均值加上三个标准差(sigma)作为截止值。

图8示出了用于检测用于不同类型的多个绝佳腔室的半导体制造工具101的处理腔室和与绝佳腔室相对应的不同类型的被测试的多个处理腔室之间的不匹配的方法800的一个实施方式。方法800可以由处理逻辑来执行,处理逻辑可包括硬件(例如,电路系统、专用逻辑、可编程逻辑、微代码等)、软件(例如,在处理装置上运行的指令)、或其组合。在一个实施方式中,方法800由图2的腔室匹配分析引擎222执行。

在方块805,腔室匹配分析引擎222通过将符合规格(例如,多个绝佳腔室)的处理半导体基板的多个第一处理腔室的一个或多个传感器的多个第一组输入时序数据馈送到神经网络300来训练神经网络300,以产生相对应的多个第一组输出时序数据。在方块810,腔室匹配分析引擎222计算多组第一输入时序数据与相对应的多个第一组输出时序数据之间的多个第一误差。在示例中,第一误差是均方差。

在方块815,腔室匹配分析引擎222将多个第二组输入时序数据从与符合规格的多个第一处理腔室一样的不同类型的被测试的(例如,制造工具101的)多个第二处理腔室相关联的相对应的一个或多个传感器馈送到经训练的神经网络,以产生相对应的多个第二组输出时序数据。

在方块820,腔室匹配分析引擎222计算多个第二组输入时序数据与相对应的多个第二组输出时序数据之间的多个第二误差。在一个实施方式中,误差是均方差。在方块825,若多个第二误差的总和与多个第一误差的总和之间的差等于或超过阈值量,则在方块830处,腔室匹配分析引擎222声明被测试的多个第二处理腔室与符合规格的多个第一处理腔室不匹配。在方块835,腔室匹配分析引擎222将被测试的一个或多个腔室识别为故障源。在方块840,腔室匹配分析引擎222响应于声明不匹配而使校正行为发生。校正行为可以包括,例如,显示警示、产生声音警示、停止工具执行进一步的处理、或指示制造系统采取校正措施以消除所声明的不匹配的原因。

在方块825,若多个第二误差的总和与多个第一误差的总和之间的差低于阈值量,则在方块845,腔室匹配分析引擎222声明被测试的多个第二处理腔室中的每一者与符合规格的多个第一处理腔室中的每一者匹配。在一个示例中,阈值量可以设置为训练重建的均方差的平均值加上三个标准差(sigma)作为截止值。

图9示出了根据本公开内容的一些实施方式的用以检测和分析异常的示例计算系统900。在某些实施方式中,计算系统900代表服务器220。计算系统900的方面也可以代表用以执行本文描述的技术的其他装置(例如,服务器230)。例如,计算系统900可以是个人计算机、工业处理器、个人数字助理、行动电话、行动装置、或适合于实施本发明的一个或多个实施方式的任何其他装置。

系统900包括中央处理单元(CPU)902和经由总线路径通信的系统存储器904,总线路径可包括存储器桥接器905。CPU 902包括一个或多个处理核心,并且在操作中,CPU 902是系统900的主处理器,用于控制和协调其他系统元件的操作。系统存储器904储存软件应用906和数据,以供CPU 902使用。CPU 902运行软件应用程式并且选择性地运行操作系统。

说明性地,系统存储器904包括腔室匹配分析引擎980,其可对应于根据本文所述技术的执行与检测腔室的不匹配相关的操作的腔室匹配分析引擎222。例如,腔室匹配分析引擎980可以等同于图2中的腔室匹配分析引擎222,并且可以采用深度自动编码器类型的神经网络300,其基于最小化训练时序轨迹的重建误差的模型将一个或多个输入时序轨迹重建为相对应的一个或多个输出时序轨迹,并且基于那些集合,识别处理腔室与制造处理的其他方面的匹配或不匹配。

存储器桥接器905(可以是,例如,北桥芯片)经由总线或其他通信路径(例如,HyperTransport连结)连接到I/O(输入/输出)桥接器907。I/O桥接器907(可以是,例如,南桥芯片)从一个或多个使用者输入装置908(例如,键盘、鼠标、操纵杆、数位板、触摸板、触摸屏、静态或视频摄影机、运动传感器、和/或麦克风)接收使用者输入并经由存储器桥接器905将输入转发到CPU 902。

显示处理器912通过总线或其他通信路径(例如,PCI Express、加速图形端口、或HyperTransport连结)耦接到存储器桥接器905;在一个实施方式中,显示处理器912是一种图形子系统,其包括至少一个图形处理单元(GPU)和图形存储器。图形存储器包括用于储存输出图像的每个像素的像素数据的显示存储器(例如,帧缓冲器)。图形存储器可以与GPU整合在相同装置中、可以作为单独的装置与GPU连接、和/或在系统存储器904中实施。

显示处理器912周期性地将仪表板的像素传送到显示装置910(例如,屏幕或传统的CRT、基于等离子体、OLED、SED、或LCD的监视器或电视)。此外,显示处理器912可将像素输出到适于在摄影胶卷上重现计算机产生的图像的胶卷记录器。显示处理器912可以向显示装置910提供模拟或数字信号。

永久性储存器920也连接到I/O桥接器907,并且可以经配置以储存内容和应用程序及数据,例如数据库915,以供CPU 902和显示处理器912使用。永久性储存器920为应用程序和数据提供非易失性储存,并且可以包括固定或可移动的硬盘驱动器、闪存装置、及CD-ROM、DVD-ROM、蓝光、HD-DVD、或其他磁性的、光学的、或固态储存装置。

说明性地,永久性储存器920包括制造数据990,其可包括由腔室匹配分析引擎222获取的信息。制造数据990可以,例如,包括由制造工具101收集的从MES 232接收的信息,此信息与在制造处理中随着时间对基板和工具进行测量的各种传感器有关。

开关916提供I/O桥接器907与其他元件,例如网络适配器918和各种附加卡920和921之间的连接。网络适配器918允许系统900经由电子通信网络与其他系统通信,并且可以包括通过局域网络940和诸如互联网的广域网络的有线或无线通信。

其他元件(未显示),包括USB或其他端口连接、胶片记录装置、或其他合适的计算装置,也可以连接到I/O桥接器907。例如,处理设备970可以依据由CPU 902、系统存储器904、或永久性储存器920提供的指令和/或数据进行操作。图9中的各个元件互连的通信路径可以使用任何合适的协议来实施,例如PCI(周边元件互连)、PCI Express(PCI-E)、AGP(加速图形端口)、HyperTransport、或任何其他总线或点对点通信协议,且不同装置之间的连接可以使用不同的协议,如本领域中已知的那样。

处理设备970可以是一个或多个半导体腔室,例如等离子体增强化学气相沉积(PECVD)或其他等离子体处理腔室,例如制造工具101中包含的处理工具。例如,处理设备970可以是单独或与一个或多个其他腔室结合的蚀刻腔室、化学气相沉积腔室、物理气相沉积腔室、注入腔室、等离子体处理腔室、或其他等离子体处理腔室。

在一个实施方式中,显示处理器912包括为了执行数学运算而优化的电路系统,包括,例如,数学协处理器,并且可以另外构成图形处理单元(GPU)。在另一个实施方式中,显示处理器912包括为通用处理而优化的电路系统。在又一实施方式中,显示处理器912可以与一个或多个其他系统元件整合,例如存储器桥接器905、CPU 902和I/O桥接器907,以形成片上系统(system on chip,SoC)。在其他实施方式中,省略了显示处理器912,并且由CPU902执行的软件执行显示处理器912的功能。

像素数据可以直接从CPU 902提供给显示处理器912。在一些实施方式中,经由网络适配器918或系统盘片914将表示异常验证分析的指令和/或数据被提供给伺服计算机组,每个伺服计算机类似于系统900。服务器可以使用所提供的指令对数据的子集执行操作以供分析。这些操作的结果可以以数字格式储存在计算机可读取介质上,并且选择性地返回到系统900以供进一步的分析或显示。类似地,数据可以被输出到其他系统以供显示、储存在系统盘片914上的数据库915中、或以数字格式储存在计算机可读取介质上。

或者,CPU 902向显示处理器912提供定义期望的输出图像的数据和/或指令,显示处理器912根据此数据和/或指令产生一个或多个输出图像的像素数据,包括表征和/或调整立体图像对之间的偏移。定义期望的输出图像的数据和/或指令可以储存在显示处理器912内的系统存储器904或图形存储器中。CPU 902和/或显示处理器912可以采用本领域已知的任何数学、函数、或技术来从提供的数据和指令中建立一个或多个结果,包括运行模型和比较来自传感器的数据以追踪腔室元件的使用寿命。

应理解,本文所示的系统是说明性的,并且可以进行变化和修改。可以根据需要修改连接拓扑,包括桥接器的数量和布置。例如,在一些实施方式中,系统存储器904直接连接到CPU 902,而不是通过桥接器连接到CPU 902,并且其他装置经由存储器桥接器905和CPU902与系统存储器904通信。在其他替代拓扑中,显示处理器912连接到I/O桥接器907或直接连接到CPU 902,而不是连接到存储器桥接器905。在其他实施方式中,I/O桥接器907和存储器桥接器905可以被整合到单个芯片中。本文示出的特定元件是选择性的;例如,可能支持任意数量的附加卡或周边装置。在一些实施方式中,处理设备970可以直接连接到I/O桥接器907。在一些实施方式中,移除了开关916,并且网络适配器918和附加卡920、921直接连接到I/O桥接器907。

本发明的各种实施方式可以被实施为与计算机系统一起使用的程序产品。程序产品的软件例程定义实施方式的功能(包括本文描述的方法),并且可以包含在各种计算机可读取储存介质上。说明性计算机可读取储存介质包括,但不限于:(i)信息永久储存于其上的不可写入储存介质(例如,计算机内的只读存储器装置,例如可由CD-ROM驱动器可读取的CD-ROM盘、闪存、ROM芯片或任何类型的固态非易失性半导体存储器);(ii)可变的信息储存于其上的可写入储存介质(例如,软盘驱动器中的软盘或硬盘驱动器或任何类型的固态随机存取半导体存储器)。

一种或多种计算机可读取介质的任意组合可以用于储存程序产品,程序产品在被执行时,经配置以执行用于判定腔室元件的使用寿命的方法。计算机可读取储存介质可以是,例如,但不限于,电子、磁性、光学、电磁、红外线、或半导体系统、设备、或装置、或前述的任何合适的组合。计算机可读取储存介质的更具体示例(非详尽列出)将包括以下:便携式计算机磁盘、硬盘、随机存取存储器(RAM)、只读存储器(ROM)、可擦编程只读存储器(EPROM或闪存)、光纤、便携式光盘只读存储器(CD-ROM)、光学储存装置、磁储存装置或上述的任意合适组合。在本文的上下文中,计算机可读取储存介质可以是任何有形介质,其可以包含或储存程序,程序供指令执行系统、设备、或装置使用或与其结合使用。

可以以一种或多种程序语言的任何组合来编写用于执行本发明的方面的操作的计算机程序代码,程序语言包括例如JAVA TM、SMALLTALK TM、C++之类的面向对象的程序语言以及常规的过程程序语言,例如“C”程序语言或类似的程序语言。程序代码可以完全在使用者的计算机上执行、部分在使用者的计算机上执行、作为独立软件包执行、部分在使用者的计算机上执行并且部分在远程计算机上执行、或者完全在远端计算机上或服务器上执行。在后者的情况中,远程计算机可以通过任何类型的网络(包括局域网络(LAN)或广域网络(WAN))连接到使用者的计算机,或者可以与外部计算机建立连接(例如,通过使用互联网服务供应商的互联网)。

也可以将计算机程序指令载入到计算机上,其他可编程数据处理设备上或其他装置上,以使一系列操作在计算机、其他可编程设备、或其他装置上执行,以产生计算机实施的程序,从而使在计算机或其他可编程设备上执行的指令提供了用于实施流程图和/或方块图方块中指定的功能/行为的程序。

可以通过云端计算基础设备将本发明的实施方式提供给终端使用者。云端计算是指通过网络的可扩展的计算资源作为服务的提供。更正式来说,云端计算可以定义为一种计算能力,其提供计算资源与其底层技术结构(例如服务器、储存、网络)之间的抽象化,从而实现对可配置的计算资源的共享池的便捷、按需求的网络访问,此计算资源可以以最少的管理工作或服务提供商交互来快速地配置和释放。因此,云端计算允许使用者访问“云”中的虚拟计算资源(例如,储存、数据、应用程序、甚至完整的虚拟化计算系统),而无需考虑用以提供计算资源的底层物理系统(或那些系统的位置)。

有利地,本文提出的技术允许实时的异常检测、离线问题诊断、和腔室匹配。关于实时的异常检测,实施方式预先训练模型,并且实时检测与良好轨迹的偏差是否较大,以及是否相应地进行校正。关于离线问题诊断,当在马拉松式的运行期间发生某些问题时,实施方式使用良好的运行来训练模型并检查与良好运行相比更差的运行的差异。这确定了差异的主要贡献的传感器,以帮助诊断在硬件或处理本身中的真正问题是什么(例如,流量阀、电源中断延迟等)。关于腔室匹配,实施方式允许利用来自一个/多个良好腔室的多次运行来训练模型,并检查来自良好腔室的输出数据或来自不良腔室的差异。如上所述,自动编码器将忽略良好腔室之间的基线差异,并专注于寻找更重要的差异(例如,图案、处理等)。这比运行相同/相似配方的常规腔室匹配方法更有效率。

技术允许基于制造数据的基板品质的智能预测,并且允许针对各个基板和制造处理的其他方面做出关于要采取的校正措施的有效率的决定。使用本公开内容的实施方式可以降低成本、提高效率、并且允许关于制造处理的更好的策略计划。

如本领域具有通常知识者将理解的,本发明的方面可以实施为系统、方法、或计算机程序产品。因此,本发明的方面可以采取完全硬件实施方式、完全软件实施方式(包括固件、常驻软件、微代码等)、或结合了软件和硬件方面的实施方式的形式,在此可以将其称为“电路”、“模块”、或“系统”。此外,本发明的方面可以采取一种计算机程序产品的形式,此计算机程序产品实施在一个或多个计算机可读取介质上,计算机可读取介质具有计算机可读取程序代码实施于其上。

在前文,参考了本公开内容中提出的实施方式。然而,本公开内容的范围不限于特定描述的实施方式。相反,可以设想以下特征和元素的任何组合、(无论是否与不同的实施方式有关)以实施和实践所设想的实施方式。此外,尽管本文公开的实施方式可以实现优于其他可能的解决方案或优于现有技术的优点,但是通过给定的实施方式是否实现特定的优点并不限制本公开内容的范围。因此,以下方面、特征、实施方式、和优点仅是示例性的,并且不被认为是随附权利要求书范围的要素或限制,除非在权利要求书中明确叙述。同样,对“本发明”的引用不应解释为本文公开的任何发明主张主题的概括,并且除非在权利要求书中明确叙述,否则不应认为是随附权利要求书的要素或限制。

尽管前述内容是针对本公开内容的实施方式,但可在不脱离本公开内容的基本范围的情况下设计本公开内容的其他和进一步的实施方式,并且本公开内容的范围由随附权利要求书来确定。

去获取专利,查看全文>

相似文献

  • 专利
  • 中文文献
  • 外文文献
获取专利

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号