掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Conference on Field Programmable Logic and Applications
International Conference on Field Programmable Logic and Applications
召开年:
2015
召开地:
London(GB)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
PushPush: Seamless integration of hardware and software objects via function calls over AXI
机译:
PushPush:通过AXI上的函数调用无缝集成硬件和软件对象
作者:
Fleming Shane T.
;
Beretta Ivan
;
Thomas David B.
;
Constantinides George A.
;
Ghica Dan R.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
2.
Rapid prototyping and Design Space Exploration methodologies for many-accelerator systems
机译:
用于多加速器系统的快速原型设计和设计空间探索方法
作者:
Sotiriou-Xanthopoulos Efstathios
;
Xydis Sotirios
;
Siozios Kostas
;
Economakos George
;
Soudris Dimitrios
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
3.
An efficient reconfigurable architecture by characterizing most frequent logic functions
机译:
通过表征最常用的逻辑功能,实现高效的可重配置架构
作者:
Ahmadpour Iman
;
Khaleghi Behnam
;
Asadi Hossein
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
4.
Recursive pipelined genetic propagation for bilevel optimisation
机译:
递归流水线遗传传播用于双层优化
作者:
Shengjia Shao
;
Guo Liucheng
;
Guo Ce
;
Chau Thomas C.P.
;
Thomas David B.
;
Luk Wayne
;
Weston Stephen
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
5.
An FPGA implementation of a phylogenetic tree reconstruction algorithm using an alternative second-pass optimization
机译:
使用替代性第二遍优化的系统进化树重构算法的FPGA实现
作者:
Block Henry
;
Maruyama Tsutomu
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
6.
Reduction calculator in an FPGA based switching Hub for high performance clusters
机译:
基于FPGA的交换中心中的缩减计算器,用于高性能集群
作者:
Kuhara Takuya
;
Tsuruta Chiharu
;
Hanawa Toshihiro
;
Amano Hideharu
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
7.
An LZ77-style bit-level compression for trace data compaction
机译:
LZ77样式的位级压缩,用于跟踪数据压缩
作者:
Irrgang Kai-Uwe
;
Preusser Thomas B.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
8.
rrBox: A remote dynamically reconfigurable network processing middlebox
机译:
rrBox:远程动态可重新配置的网络处理中间盒
作者:
Tze Hon Tan
;
Chia Yee Ooi
;
Marsono M.N.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
Middlebox;
NetFPGA 10G;
Partial reconfiguration;
Remote dynamic reconfiguration;
9.
Automatic generation of high throughput energy efficient streaming architectures for arbitrary fixed permutations
机译:
自动生成高吞吐量,高能效的流架构,用于任意固定排列
作者:
Chen Ren
;
Prasanna Viktor K.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
10.
Scavenger: Automating the construction of application-optimized memory hierarchies
机译:
清道夫:自动构建应用程序优化的内存层次结构
作者:
Yang Hsin-Jung
;
Fleming Kermin
;
Adler Michael
;
Winterstein Felix
;
Emer Joel
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
11.
Scheduling-aware interconnect synthesis for FPGA-based Multi-Processor Systems-on-Chip
机译:
基于FPGA的片上多处理器系统的调度感知互连综合
作者:
Fusella Edoardo
;
Cilardo Alessandro
;
Mazzeo Antonino
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
12.
Automatic support for multi-module parallelism from computational patterns
机译:
通过计算模式自动支持多模块并行
作者:
George Nithin
;
Lee HyoukJoong
;
Novo David
;
Owaida Muhsen
;
Andrews David
;
Olukotun Kunle
;
Ienne Paolo
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
13.
Serial and parallel interleaved modular multipliers on FPGA platform
机译:
FPGA平台上的串行和并行交错式模块化乘法器
作者:
Javeed Khalid
;
Wang Xiaojun
;
Scott Mike
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
Finite field;
elliptic curve cryptography (ECC);
interleaved multiplication;
public key cryptography (PKC);
14.
Building a distributed key-value store with FPGA-based microservers
机译:
使用基于FPGA的微型服务器构建分布式键值存储
作者:
Istvan Zsolt
;
Sidler David
;
Alonso Gustavo
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
15.
Significant papers from the first 25 years of the FPL conference
机译:
FPL会议头25年的重要论文
作者:
Leong Philip H.W.
;
Amano Hideharu
;
Anderson Jason
;
Bertels Koen
;
Cardoso Joao M.P.
;
Diessel Oliver
;
Gogniat Guy
;
Hutton Mike
;
Lee JunKyu
;
Luk Wayne
;
Lysaght Patrick
;
Platzner Marco
;
Prasanna Viktor K.
;
Rissa Tero
;
Silvano Cristina
;
So Hayden
;
Yu Wang
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
16.
Software-in-the-Loop simulation of embedded control applications based on Virtual Platforms
机译:
基于虚拟平台的嵌入式控制应用程序的在环仿真
作者:
Werner Stephan
;
Masing Leonard
;
Lesniak Fabian
;
Becker Jurgen
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
Cyber Physical Systems;
OVP;
Software-in-the-Loop;
Virtual Platforms;
Virtual Prototyping;
embedded control applications;
17.
SPINE: From C loop-nests to highly efficient accelerators using Algorithmic Species
机译:
SPINE:从C循环嵌套到使用算法种类的高效加速器
作者:
Wijtvliet Mark
;
Fernando Shakith
;
Corporaal Henk
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
18.
Characterisation of feasibility regions in FPGAs under adaptive DVFS
机译:
自适应DVFS下FPGA中可行性区域的表征
作者:
Dahir Nizar
;
Campos Pedro
;
Tempesti Gianluca
;
Trefzer Martin
;
Tyrrell Andrew
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
19.
Static hardware task placement on multi-context FPGA using hybrid genetic algorithm
机译:
使用混合遗传算法的多上下文FPGA上的静态硬件任务放置
作者:
Hao Liang
;
Sinha Sharad
;
Warrier Rakesh
;
Zhang Wei
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
20.
Compact dual block AES core on FPGA for CCM Protocol
机译:
FPGA上用于CCM协议的紧凑型双块AES内核
作者:
Resende Joao Carlos
;
Chaves Ricardo
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
21.
Synthesizable FPGA fabrics targetable by the Verilog-to-Routing (VTR) CAD flow
机译:
Verilog到路由(VTR)CAD流程可作为目标的可合成FPGA架构
作者:
Jin Hee Kim
;
Anderson Jason H.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
22.
SysAlloc: A hardware manager for dynamic memory allocation in heterogeneous systems
机译:
SysAlloc:用于异构系统中动态内存分配的硬件管理器
作者:
Zeping Xue
;
Thomas David B.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
23.
CoRAM++: Supporting data-structure-specific memory interfaces for FPGA computing
机译:
CoRAM ++:支持特定于数据结构的存储器接口以进行FPGA计算
作者:
Weisz Gabriel
;
Hoe James C.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
24.
Data protection using recursive inverse function
机译:
使用递归逆函数进行数据保护
作者:
Xu Teng
;
Hongxiang Gu
;
Potkonjak Miodrag
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
25.
Data-triggered breakpoint for in-circuit debug without re-implementation
机译:
数据触发的断点,用于在线调试,无需重新实现
作者:
Tamiya Yutaka
;
Tomita Yoshinori
;
Ichiba Toshiyuki
;
Kawamura Kaoru
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
Breakpoint;
CRC;
Data Sequence;
FPGA;
In-Circuit Debug;
26.
Design and simulation tools for Embedded NOCs on FPGAs
机译:
FPGA上的嵌入式NOC的设计和仿真工具
作者:
Abdelfattah Mohamed S.
;
Bitar Andrew
;
Yaghi Ange
;
Betz Vaughn
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
27.
Domain-specific optimisation for the high-level synthesis of CellML-based simulation accelerators
机译:
基于特定领域的优化,用于基于CellML的仿真加速器的高级综合
作者:
Oppermann Julian
;
Koch Andreas
;
Yu Ting
;
Sinnen Oliver
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
28.
Temperature-triggered behavioral IPs HW Trojan detection method with FPGAs
机译:
FPGA的温度触发行为IP硬件木马检测方法
作者:
Xiaotong Li
;
Schafer Benjamin Carrion
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
29.
Efficient assembly for high order unstructured FEM meshes
机译:
高阶非结构化FEM网格的高效组装
作者:
Burovskiy Pavel
;
Grigoras Paul
;
Sherwin Spencer
;
Luk Wayne
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
Finite Element Methods;
assembly;
dataflow;
sparsity;
30.
Efficient data-stream management for shared-memory many-core systems
机译:
共享内存多核系统的高效数据流管理
作者:
Neves Nuno
;
Tomas Pedro
;
Roma Nuno
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
31.
Enabling seamless execution on hybrid CPU/FPGA systems: Challenges directions
机译:
在混合CPU / FPGA系统上实现无缝执行:挑战与方向
作者:
Belwal Meena
;
Purnaprajna Madhura
;
Sudarshan TSB
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
32.
Energy efficient partitioning of dynamic reconfigurable MRAM-FPGAs
机译:
动态可重新配置的MRAM-FPGA的节能分区
作者:
Ahari Ali
;
Ebrahimi Mojtaba
;
Tahoori Mehdi B.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
33.
Energy optimization of FPGA-based stream-oriented computing with power gating
机译:
基于功率门控的基于FPGA的面向流计算的能量优化
作者:
Hosseinabady Mohammad
;
Nunez-Yanez Jose Luis
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
FPGA;
Hybrid FPGA-ARM Platform;
Power Gating;
SDF;
Stream Computation;
Successive Computing;
34.
Enhancing stochastic computations via process variation
机译:
通过过程变化来增强随机计算
作者:
Duarte Rui Policarpo
;
Vestias Mario
;
Neto Horacio
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
35.
Towards a guided design flow for heterogeneous reconfigurable architectures
机译:
面向异构可重配置架构的指导设计流程
作者:
Bostelmann Timm
;
Sawitzki Sergei
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
36.
Estimating circuit delays in FPGAs after technology mapping
机译:
技术映射后估算FPGA中的电路延迟
作者:
Severens Berg
;
Vansteenkiste Elias
;
Heyse Karel
;
Stroobandt Dirk
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
37.
Towards heterogeneous solvers for large-scale linear systems
机译:
面向大型线性系统的异构求解器
作者:
Venieris Stylianos I.
;
Mingas Grigorios
;
Bouganis Christos-Savvas
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
38.
Towards efficient discrete Gaussian sampling for lattice-based cryptography
机译:
面向基于晶格密码的高效离散高斯采样
作者:
Chaohui Du
;
Guoqiang Bai
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
FPGA;
Ring-LWE;
discrete Gaussian sampler;
inverse CDF;
lattice-based cryptography;
learning with errors;
39.
UniStream: A unified stream architecture combining configuration and data processing
机译:
UniStream:统一的流架构,结合了配置和数据处理
作者:
Jian Yan
;
Jifang Jin
;
Wang Ying
;
Xuegong Zhou
;
Leong Philip
;
Lingli Wang
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
40.
Fast FPGA system for microarchitecture optimization on synthesizable modern processor design
机译:
用于可综合现代处理器设计的微体系结构优化的快速FPGA系统
作者:
Libo Huang
;
Yongwen Wang
;
Qiang Dou
;
Chengyi Zhang
;
Caixia Sun
;
Chao Xu
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
41.
Using island-style bi-directional intra-CLB routing in low-power FPGAs
机译:
在低功耗FPGA中使用岛式双向CLB内部路由
作者:
Ayorinde Oluseyi
;
He Qi
;
Yu Huang
;
Calhoun Benton H.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
42.
Fast hierarchical implementation of sequential tree-reweighted belief propagation for probabilistic inference
机译:
顺序树加权加权信念传播的快速分层实现,用于概率推断
作者:
Hurkat Skand
;
Choi Jungwook
;
Nurvitadhi Eriko
;
Martinez Jose F.
;
Rutenbar Rob A.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
43.
Variable-latency signed addition on FPGAs
机译:
FPGA上的可变延迟签名加法
作者:
Cilardo Alessandro
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
44.
FPGA based nonlinear Support Vector Machine training using an ensemble learning
机译:
使用集成学习的基于FPGA的非线性支持向量机训练
作者:
Rabieah Mudhar Bin
;
Bouganis Christos-Savvas
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
45.
FPGA implementation to estimate the number of endmembers in hyperspectral images
机译:
FPGA实现以估计高光谱图像中的末端成员数量
作者:
Gonzalez Carlos
;
Mozos Daniel
;
Lopez Sebastian
;
Sarmiento Roberto
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
46.
Wotan: A tool for rapid evaluation of FPGA architecture routability without benchmarks
机译:
Wotan:一种无需基准即可快速评估FPGA架构布线能力的工具
作者:
Petelin Oleg
;
Betz Vaughn
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
47.
FPGA-based all-digital Software Defined Radio receiver
机译:
基于FPGA的全数字软件定义无线电接收机
作者:
Prata Andre
;
Oliveira Arnaldo S.R.
;
Carvalho Nuno Borges
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
48.
7MOPS/lemon-battery image processing demonstration with an ultra-low power reconfigurable accelerator CMA-SOTB-2
机译:
7MOPS /柠檬电池图像处理演示与超低功耗可重构加速器CMA-SOTB-2
作者:
Masuyama Koichiro
;
Fujita Yu
;
Okuhara Hayate
;
Amano Hideharu
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
49.
FPGA-based all-digital software defined radio system demonstration
机译:
基于FPGA的全数字软件定义的无线电系统演示
作者:
Cordeiro Rui F.
;
Prata Andre
;
Oliveira Arnaldo S.R.
;
Carvalho Nuno Borges
;
Vieira Jose N.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
50.
FPGA-based all-digital transmitters
机译:
基于FPGA的全数字发送器
作者:
Cordeiro R.F.
;
Oliveira Arnaldo S.R.
;
Vieira Jose
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
51.
A deep convolutional neural network based on nested residue number system
机译:
基于嵌套残数系统的深度卷积神经网络
作者:
Nakahara Hiroki
;
Sasao Tsutomu
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
52.
From low-architectural expertise up to high-throughput non-binary LDPC decoders: Optimization guidelines using high-level synthesis
机译:
从低架构专业知识到高吞吐量非二进制LDPC解码器:使用高级综合的优化准则
作者:
Andrade Joao
;
George Nithin
;
Karras Kimon
;
Novo David
;
Silva Vitor
;
Ienne Paolo
;
Falcao Gabriel
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
Vivado HLS;
design space exploration;
fast hardware design;
high-level synthesis;
non-binary LDPC codes;
53.
Generating FPGA accelerators for chemical similarity assessment
机译:
生成用于化学相似性评估的FPGA加速器
作者:
Alachiotis Nikolaos
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
54.
A framework for integrated monitoring of real-time embedded SoC
机译:
集成监控实时嵌入式SoC的框架
作者:
Valente Giacomo
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
Design Space Exploration;
Embedded Systems;
FPGA;
Performance Optimization;
Profiling techniques;
55.
A fully pipelined kernel normalised least mean squares processor for accelerated parameter optimisation
机译:
全流水线内核归一化最小均方处理器,用于加速参数优化
作者:
Fraser Nicholas J.
;
Moss Duncan J.M.
;
JunKyu Lee
;
Tridgell Stephen
;
Jin Craig T.
;
Leong Philip H.W.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
56.
Greedy approach based heuristics for partitioning SpMxV on FPGAs
机译:
基于贪婪方法的启发式方法在FPGA上对SpMxV进行分区
作者:
Jiasen Huang
;
Weina Lu
;
Ren Junyan
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
SpMxV;
greedy approach;
highest mean density;
partitioning;
recursive merging;
57.
A variable length hash method for faster short read mapping on FPGA
机译:
可变长度哈希方法,用于在FPGA上更快地进行短读映射
作者:
Sogabe Yoko
;
Maruyama Tsutomu
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
58.
Hierarchical library based power estimator for versatile FPGAs
机译:
基于分层库的多功能FPGA功耗估算器
作者:
Hao Liang
;
Zhang Wei
;
Sinha Sharad
;
Chen Yi-Chung
;
Li Hai
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
fpga;
power estimator;
59.
Fine-tuning CLB placement to speed up reconfigurations in NVM-based FPGAs
机译:
微调CLB放置以加快基于NVM的FPGA中的重新配置
作者:
Xue Yuan
;
Cronin Patrick
;
Yang Chengmo
;
Hu Jingtong
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
CLB placement;
non-volatile memory-based FPGA;
reconfiguration overhead;
self-adaptive system;
60.
High speed ECC implementation on FPGA over GF(2
m
)
机译:
通过GF(2
m sup>)在FPGA上实现高速ECC
作者:
Khan Zia U.A.
;
Benaissa Mohammed
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
Elliptic Curve Cryptography (ECC);
FPGA;
High Speed;
Low Latentcy;
Point Multiplication;
61.
High-level FPGA logic synthesis from .NET programs for software developers
机译:
.NET程序为软件开发人员提供的高级FPGA逻辑综合
作者:
Lehoczky Zoltan
;
Toth Richard
;
Somogyi Krisztian
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
.NET;
FPGA;
High-Level Synthesis;
62.
High-Level-Synthesis extensions for scalable Single-Chip Many-Accelerators on FPGAs
机译:
FPGA上可扩展单芯片多加速器的高级综合扩展
作者:
Diamantopoulos Dionysios
;
Xydis Sotirios
;
Siozios Kostas
;
Soudris Dimitrios
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
63.
Hoplite: Building austere overlay NoCs for FPGAs
机译:
Hoplite:为FPGA构建严格的覆盖NoC
作者:
Kapre Nachiket
;
Gray Jan
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
64.
Hybrid breadth-first search on a single-chip FPGA-CPU heterogeneous platform
机译:
单片机FPGA-CPU异构平台上的混合广度优先搜索
作者:
Umuroglu Yaman
;
Morrison Donn
;
Jahre Magnus
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
accelerator architecture;
breadth-first search (BFS);
matrices over semirings;
memory access patterns;
sparse matrix;
65.
Hybrid FPGA debug approach
机译:
混合FPGA调试方法
作者:
Panjkov Zdravko
;
Wasserbauer Andreas
;
Ostermann Timm
;
Hagelauer Richard
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
66.
In-field vulnerability analysis of hardware-accelerated computer vision applications
机译:
硬件加速的计算机视觉应用程序的现场漏洞分析
作者:
Chadjiminas I.
;
Kyrkou C.
;
Theocharides T.
;
Michael M.K.
;
Ttofis C.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
Approximate Computing;
Computer Vision;
FPGA;
Intermittent Faults;
Reliability and Vulnerability Analysis;
Single Event Upsets;
Transient Faults;
67.
Inter-procedural resource sharing in High Level Synthesis through function proxies
机译:
通过功能代理进行高级综合中的过程间资源共享
作者:
Minutoli Marco
;
Castellana Vito Giovanni
;
Tumeo Antonino
;
Ferrandi Fabrizio
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
68.
A study of data partitioning on OpenCL-based FPGAs
机译:
基于OpenCL的FPGA上的数据分区研究
作者:
Wang Zeke
;
He Bingsheng
;
Zhang Wei
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
69.
Adaptive MRAM-based CGRAs
机译:
基于MRAM的自适应CGRA
作者:
Xiaobin Liu
;
Thomas Tedy
;
Boguslawski Alan
;
Tessier Russell
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
70.
Limits of FPGA acceleration of 3D Green's Function computation for geophysical applications
机译:
用于地球物理应用的3D Green函数计算的FPGA加速限制
作者:
Kapre Nachiket
;
Kumar Jayakrishnan Selva
;
Gupta Parjanya
;
Masuti Sagar
;
Barbot Sylvain
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
71.
A portable open-source controller for safe Dynamic Partial Reconfiguration on Xilinx FPGAs
机译:
便携式开源控制器,用于在Xilinx FPGA上进行安全的动态部分重配置
作者:
Di Carlo Stefano
;
Prinetto Paolo
;
Trotta Pascal
;
Andersson Jan
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
72.
Mind the (synthesis) gap: Examining where academic FPGA tools lag behind industry
机译:
留意(综合)差距:审查学术FPGA工具落后于行业的地方
作者:
Hung Eddie
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
73.
A rapid prototyping framework for nano-photonic accelerators
机译:
纳米光子加速器的快速原型框架
作者:
Buter W.
;
Garcia-Ortiz A.
;
Ali A.
;
Mahmood S.
;
Arefin S.
;
Sreenivas V.V.Parsi
;
Bergman R.B.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
74.
NetFPGA - rapid prototyping of high bandwidth devices in open source
机译:
NetFPGA-开源中高带宽设备的快速原型制作
作者:
Zilberman Noa
;
Audzevich Yury
;
Kalogeridou Georgina
;
Bojan Neelakandan Manihatty
;
Jingyun Zhang
;
Moore Andrew W.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
75.
Ultra low latency dataflow renderer
机译:
超低延迟数据流渲染器
作者:
Friston Sebastian
;
Steed Anthony
;
Tilbury Simon
;
Gaydadjiev Georgi
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
76.
A resilient, flash-free soft error mitigation concept for the CBM-ToF read-out chain via GBT-SCA
机译:
通过GBT-SCA的CBM-ToF读取链的弹性,无闪存软错误缓解概念
作者:
Oancea Andrei-Dumitru
;
Stuellein Christian
;
Gebelein Jano
;
Kebschull Udo
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
77.
Ultra-fast NoC emulation on a single FPGA
机译:
单个FPGA上的超快速NoC仿真
作者:
Thiem Van Chu
;
Sato Shimpei
;
Kise Kenji
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
78.
A run time interpretation approach for creating custom accelerators
机译:
用于创建自定义加速器的运行时解释方法
作者:
Ma Sen
;
Aklah Zeyad
;
Andrews David
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
79.
OpenCL computing on FPGA using multiported
机译:
使用多端口在FPGA上进行OpenCL计算
作者:
Memory Shared
;
Mutlugun Tahsin Turker
;
Sheng-De Wang
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
80.
A scalable architecture for multi-class visual object detection
机译:
用于多类视觉对象检测的可扩展架构
作者:
Advani Siddharth
;
Tanabe Yasuki
;
Irick Kevin
;
Sampson Jack
;
Narayanan Vijaykrishnan
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
81.
Optimised OpenCL workgroup synthesis for hybrid ARM-FPGA devices
机译:
针对混合ARM-FPGA器件的优化的OpenCL工作组综合
作者:
Hosseinabady Mohammad
;
Nunez-Yanez Jose Luis
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
FPGA;
HLS;
Hybrid ARM-FPGA Embedded System;
OpenCL;
POCL;
ZYNQ;
82.
A scalable FPGA architecture for nonnegative least squares problems
机译:
用于非负最小二乘问题的可扩展FPGA体系结构
作者:
Althoff Alric
;
Kastner Ryan
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
FPGA;
compressive sensing;
high-level synthesis;
nonnegative least squares;
nonnegative quadratic programming;
83.
Optimizing energy efficient low-swing interconnect for sub-threshold FPGAs
机译:
优化亚阈值FPGA的高能效低摆幅互连
作者:
He Qi
;
Ayorinde Oluseyi
;
Yu Huang
;
Calhoun Benton
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
Circuit Optimization;
Energy Efficiency;
Sub-threshold Interconnect;
Voltage Optimization;
84.
A scalable pipelined architecture for biomimetic vision sensors
机译:
用于仿生视觉传感器的可扩展流水线架构
作者:
Llamocca Daniel
;
Dean Brian K.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
Biomimetic vision sensor;
FPGA;
digital hardware;
85.
A software configurable coprocessor-based state-space controller
机译:
基于软件的可配置基于协处理器的状态空间控制器
作者:
Mills Aaron
;
Pei Zhang
;
Vyas Sudhanshu
;
Zambreno Joseph
;
Jones Phillip H.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
86.
A technology mapper for depth-constrained FPGA logic cells
机译:
用于深度受限的FPGA逻辑单元的技术映射器
作者:
Zhenghong Jiang
;
Zgheib Grace
;
Colin Yu Lin
;
Novo David
;
Huang Zhihong
;
Yang Liqun
;
Yang Haigang
;
Ienne Paolo
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
87.
A transport-layer network for distributed FPGA platforms
机译:
分布式FPGA平台的传输层网络
作者:
Jun Sang-Woo
;
Liu Ming
;
Xu Shuotao
;
Arvind
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
88.
Accurate power analysis for near-V
t
RRAM-based FPGA
机译:
基于RRAM的近V
t inf> FPGA的精确功率分析
作者:
Tang Xifan
;
Gaillardon Pierre-Emmanuel
;
De Micheli Giovanni
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
89.
AmBRAM
s
- An analysis tool, method and framework for advanced measurements and reliability assessments on modern nanoscale FPGAs
机译:
AmBRAM
s sup>-用于现代纳米级FPGA的高级测量和可靠性评估的分析工具,方法和框架
作者:
Pfeifer Petr
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
90.
An automated technique to generate relocatable partial bitstreams for Xilinx FPGAs
机译:
为Xilinx FPGA生成可重定位部分位流的自动化技术
作者:
Oomen Roel
;
Nguyen Tuan
;
Kumar Akash
;
Corporaal Henk
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
关键词:
FPGA;
Virtex-7;
Vivado;
bitstream relocation;
partial reconfiguration;
91.
An efficient many-core architecture for Elliptic Curve Cryptography security assessment
机译:
高效的椭圆曲线密码学安全评估多核架构
作者:
Indaco Marco
;
Lauriz Fabio
;
Mieley Andrea
;
Trotta Pascal
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
92.
Over effective hard real-time hardware tasks scheduling and allocation
机译:
过度有效的硬实时硬件任务调度和分配
作者:
Guettatfi Zakarya
;
Kermia Omar
;
Khouas Abdelhakim
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
93.
ParaLaR: A parallel FPGA router based on Lagrangian relaxation
机译:
ParaLaR:基于拉格朗日松弛的并行FPGA路由器
作者:
Chin Hau Hoo
;
Kumar Akash
;
Yajun Ha
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
94.
Parallel feature extraction and heterogeneous object-detection for multi-camera driver assistance systems
机译:
多摄像头驾驶员辅助系统的并行特征提取和异构对象检测
作者:
Wonneberger Stefan
;
Muhlfellner Peter
;
Ceriotti Pedro
;
Graf Thorsten
;
Ernst Rolf
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
95.
Pipelined NoC router architecture design with buffer configuration exploration on FPGA
机译:
在FPGA上进行缓冲配置探索的流水线NoC路由器架构设计
作者:
Qi Chen
;
Qiang Liu
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
96.
Placing partially reconfigurable stream processing applications on FPGAs
机译:
将部分可重配置的流处理应用程序放置在FPGA上
作者:
Grigore Nicolae Bogdan
;
Koch Dirk
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
97.
Power-efficient range-match-based packet classification on FPGA
机译:
FPGA上基于功率有效范围匹配的数据包分类
作者:
Qu Yun R.
;
Prasanna Viktor K.
会议名称:
《International Conference on Field Programmable Logic and Applications》
|
2015年
意见反馈
回到顶部
回到首页