掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE/ACM International Symposium on Networks-on-Chip
IEEE/ACM International Symposium on Networks-on-Chip
召开年:
2014
召开地:
Ferrara(IT)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Extending bufferless on-chip networks to high-throughput workloads
机译:
将无缓冲片上网络扩展到高吞吐量工作负载
作者:
Hanjoon Kim
;
Changhyun Kim
;
Kim Marn-Go
;
Kanghee Won
;
Kim Jung-Ho
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
flow control;
network routing;
network-on-chip;
CFC;
bufferless NoC;
bufferless on-chip networks;
clumsy flow control;
congestion control mechanism;
deflection routing;
energy-efficiency;
high-throughput workloads;
randomized-deterministic allocation;
router critical path;
switch allocation;
Artificial neural networks;
Bandwidth;
Erbium;
Resource management;
Routing;
System recovery;
Throughput;
2.
CLAP: a crosstalk and loss analysis platform for optical interconnects
机译:
CLAP:用于光互连的串扰和损耗分析平台
作者:
Nikdast Mahdi
;
Duong Luan H. K.
;
Jiang Xu
;
Le Beux Sebastien
;
Xiaowen Wu
;
Zhehui Wang
;
Peng Yang
;
Yaoyao Ye
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
crosstalk;
integrated optoelectronics;
optical interconnections;
CLAP;
SNR analyses;
coherent crosstalk;
crosstalk and loss analysis platform;
optical interconnection networks;
signal-to-noise ratio;
Crosstalk;
Optical crosstalk;
Optical fiber networks;
Optical losses;
Optical noise;
Optical receivers;
Optical waveguides;
3.
STORM: A Simple Traffic-Optimized Router Microarchitecture for Networks-on-Chip
机译:
STORM:用于片上网络的简单流量优化路由器微体系结构
作者:
Rasheed Shalimar
;
Gratz Paul V.
;
Shakkottai Sanjay
;
Jiang Hu
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
circuit optimisation;
integrated circuit design;
network routing;
network-on-chip;
telecommunication traffic;
2D mesh network;
CMOS;
NoC router design;
NoC router microarchitectures;
STORM;
dimension-order routing;
equivalent buffer resources;
many-core chips;
network saturation throughput;
networks-on-chip;
on-chip communication;
packet latencies;
simple traffic-optimized router microarchitecture;
size 45 nm;
traffic pattern biases;
Computer architecture;
Microarchitecture;
Ports (Computers);
Resource management;
Storms;
Switches;
Throughput;
4.
Towards stochastic delay bound analysis for Network-on-Chip
机译:
面向片上网络的随机延迟边界分析
作者:
Zhonghai Lu
;
Yuan Yao
;
Yuming Jiang
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
network-on-chip;
packet switching;
quality of service;
stochastic processes;
network-on-chip;
on-chip packet-switching networks;
on-chip router;
over-dimensioning network;
probabilistic quality-of-service;
stochastic delay bound analysis;
Analytical models;
Calculus;
Delays;
Interference;
Servers;
Stochastic processes;
System-on-chip;
5.
Transient queuing models for input-buffered routers in Network-on-Chip
机译:
片上网络中输入缓冲路由器的临时排队模型
作者:
Ohmann David
;
Fischer Erik
;
Fettweis Gerhard
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
network-on-chip;
probability;
queueing theory;
transient analysis;
blocking probabilities;
end-to-end latency;
input-buffered routers;
network-on-chip;
queuing-theoretic models;
steady-state conditions;
steady-state models;
traffic patterns;
transient analysis;
transient queuing models;
Analytical models;
Computational modeling;
Network-on-chip;
Numerical models;
Queueing analysis;
Steady-state;
Transient analysis;
6.
An analytical model for worst-case reorder buffer size of multi-path minimal routing NoCs
机译:
多路径最小路由NoC的最坏情况重排序缓冲区大小的解析模型
作者:
Gaoming Du
;
Miao Li
;
Zhonghai Lu
;
Minglun Gao
;
Chunhua Wang
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
buffer circuits;
network routing;
network-on-chip;
NoCs;
in-order packet delivery;
multipath minimal routing;
network calculus;
networks-on-chips;
reorder buffer size;
synthetic traffic;
traffic splitting space;
worst-case analysis;
Analytical models;
Calculus;
Delays;
Mathematical model;
Out of order;
Routing;
Table lookup;
7.
QuT: A low-power optical Network-on-Chip
机译:
QuT:低功耗光片上网络
作者:
Hamedani Parisa Khadem
;
Jerger Natalie Enright
;
Hessabi Shaahin
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
low-power electronics;
network routing;
network-on-chip;
optical interconnections;
wavelength assignment;
wavelength division multiplexing;
QuT network;
deterministic wavelength routing algorithm;
low-power all-optical NoC;
optical networks-on-chip;
optical routers;
quartern topology;
synthetic traffic patterns;
wavelength division multiplexing;
Corona;
Optical buffering;
Optical modulation;
Optical resonators;
Optical sensors;
Optical switches;
Optical waveguides;
8.
DyAFNoC: Characterization and analysis of a dynamically reconfigurable NoC using a DOR-based deadlock-free routing algorithm
机译:
DyAFNoC:使用基于DOR的无死锁路由算法对动态可重新配置的NoC进行表征和分析
作者:
Villegas Castillo Ernesto
;
Miorandi Gabriele
;
Wang Jiang Chau
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
network routing;
network-on-chip;
DOR;
DyAFNoC;
MRCS logic;
deadlock-free routing algorithm;
dynamically reconfigurable NoC;
frequency 162.86 MHz;
frequency 182.02 MHz;
packet draining time;
storage capacity 16 bit;
Heuristic algorithms;
Network topology;
Ports (Computers);
Routing;
System recovery;
System-on-chip;
Topology;
9.
High-performance energy-efficient NoC fabrics: Evolution and future challenges
机译:
高性能,节能的NoC织物:发展和未来挑战
作者:
Anders Mark /A/.
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
CMOS digital integrated circuits;
low-power electronics;
network-on-chip;
IP blocks;
data storage;
energy efficient NoC fabrics;
exascale microprocessor;
global clock distribution;
network-on-chip scaling trends;
networks-on-chip;
size 22 nm;
trigate CMOS;
Abstracts;
Fabrics;
IP networks;
Market research;
Memory;
Synchronization;
System-on-chip;
10.
SpinNNaker: The world's biggest NoC
机译:
SpinNaker:世界上最大的NoC
作者:
Furber Steve
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
fault tolerance;
integrated circuit modelling;
microprocessor chips;
network routing;
network-on-chip;
neural net architecture;
silicon;
ARM processor cores;
NoC;
Si;
SpinNNaker project;
asynchronous packet-switched fabric;
deadlock avoidance;
fault-tolerance;
integer cores;
multicast algorithms;
network-on-chip communications;
silicon area;
size 10 m;
spike information;
spiking neural network architecture;
Abstracts;
Biological neural networks;
Computer architecture;
Computers;
Educational institutions;
Modeling;
Real-time systems;
11.
An energy-efficient millimeter-wave wireless NoC with congestion-aware routing and DVFS
机译:
具有拥塞感知路由和DVFS的节能毫米波无线NoC
作者:
Kim Ryan
;
Murray Jacob
;
Wettin Paul
;
Pande Partha Pratim
;
Shirazi Behrooz
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
integrated circuit design;
low-power electronics;
millimetre wave integrated circuits;
network-on-chip;
DVFS;
SoC;
congestion-aware routing;
energy-efficient millimeter-wave wireless NoC;
frequency 10 GHz to 100 GHz;
many-core systems on chip;
millimeter-wave wireless links;
multi-core systems on chip;
multicore designs;
network-on-chip;
power consumption;
temperature hotspots;
Benchmark testing;
Energy efficiency;
Multicore processing;
Routing;
Wireless communication;
Wireless sensor networks;
12.
Scalability-oriented multicast traffic characterization
机译:
面向可伸缩性的组播流量表征
作者:
Abadal Sergi
;
Martinez Ricardo
;
Alarcon Eduard
;
Cabellos-Aparicio Albert
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
multicast communication;
network-on-chip;
NoC designs;
multicast on-chip communications;
network-on-chip;
scalability-oriented multicast traffic characterization;
Analytical models;
Coherence;
Computer architecture;
Network-on-chip;
Scalability;
Unicast;
Broadcast;
Multicast;
Multicore Processors;
Network-on-Chip;
On-Chip Traffic Analysis;
Scalability;
13.
Achieving balanced buffer utilization with a proper co-design of flow control and routing algorithm
机译:
通过适当的流量控制和路由算法协同设计来实现平衡的缓冲区利用率
作者:
Gorgues Miguel
;
Dong Xiang
;
Flich Jose
;
Zhigang Yu
;
Duato Jose
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
buffer circuits;
integrated circuit design;
network-on-chip;
NoC designs;
buffer resource minimization;
flow control;
safe/unsafe routing algorithm;
IP networks;
Multiplexing;
Ports (Computers);
Routing;
Switches;
System recovery;
14.
An efficient Network-on-Chip (NoC) based multicore platform for hierarchical parallel genetic algorithms
机译:
基于高效的片上网络(NoC)的多核平台,用于分层并行遗传算法
作者:
Yuankun Xue
;
Zhiliang Qian
;
Guopeng Wei
;
Bogdan Paul
;
Chi-Ying Tsui
;
Marculescu Radu
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
genetic algorithms;
multiprocessing systems;
network routing;
network-on-chip;
time division multiplexing;
DIBM;
NoC architecture;
NoC-based HPGA implementation;
TDIM;
dynamic injection bandwidth multiplexing;
hierarchical parallel genetic algorithm;
multicore SoC platform;
multicore system-on-chip platform;
network-on-chip architecture;
performance bottlenecks;
protein folding prediction;
slave processor cores;
speedup metric;
task-aware adaptive routing algorithm;
time-division based island multiplexing;
Bandwidth;
Computer architecture;
Genetic algorithms;
Hardware;
Multiplexing;
Sociology;
Statistics;
15.
Augmenting manycore programmable accelerators with photonic interconnect technology for the high-end embedded computing domain
机译:
利用光子互连技术增强许多核心可编程加速器,以用于高端嵌入式计算领域
作者:
Balboni Marco
;
Ortin Obon Marta
;
Capotondi Alessandro
;
Fankem Tatenguem Herve
;
Ghiribaldi Alberto
;
Ramini Luca
;
Vinal Victor
;
Marongiu Andrea
;
Bertozzi Davide
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
electronic engineering computing;
integrated optoelectronics;
microprocessor chips;
optical interconnections;
bandwidth density concern;
general-purpose programmable accelerator;
high-end embedded computing domain;
integrated circuit boundary;
manycore computing platform;
manycore programmable accelerator;
on-chip communication;
optical interconnect technology;
photonic interconnect technology;
photonically-integrated communication fabric;
Computer architecture;
Optical buffering;
Optical interconnections;
Optical switches;
Parallel processing;
System-on-chip;
16.
Variable-width datapath for on-chip network static power reduction
机译:
可变宽度数据路径可降低片上网络的静态功耗
作者:
Michelogiannakis George
;
Shalf J.
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
SRAM chips;
elemental semiconductors;
low-power electronics;
network-on-chip;
silicon;
Si;
adaptive bandwidth networks;
application traffic;
average traffic;
drowsy SRAM cells;
false VC activation elimination;
large-scale chips;
on-chip network;
power budgets;
power consumption;
risk degrading performance;
silicon defects;
static power overheads;
static power reduction;
variable-width datapath;
virtual channels;
worst-case traffic loads;
Bandwidth;
Benchmark testing;
Clocks;
Delays;
Logic gates;
Ports (Computers);
Resource management;
17.
Hermes: Architecting a top-performing fault-tolerant routing algorithm for Networks-on-Chips
机译:
爱马仕(Hermes):为片上网络构建性能最高的容错路由算法
作者:
Iordanou Costas
;
Soteriou Vassos
;
Aisopos Konstantinos
;
Kakoulli Elena
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
fault tolerant computing;
network routing;
network-on-chip;
Hermes;
deadlock-free hybrid routing algorithm;
distributed fault-tolerant routing algorithm;
fault-free paths;
faulty NoC link counts;
load-balanced routing;
multicore chip;
networks-on-chips;
prereconfigured escape routes;
Broadcasting;
Network topology;
Ports (Computers);
Routing;
System recovery;
Throughput;
Topology;
18.
ElastiNoC: A self-testable distributed VC-based Network-on-Chip architecture
机译:
ElastiNoC:一种可自我测试的基于VC的分布式基于芯片的网络体系结构
作者:
Seitanidis I.
;
Psarras A.
;
Kalligeros E.
;
Nicopoulos C.
;
Dimitrakopoulos G.
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
built-in self test;
integrated circuit design;
network routing;
network-on-chip;
pipeline processing;
BIST;
ElastiNoC;
built-in self testability;
delay reductions;
fault coverage;
modular pipelined organizations;
network performance;
network-on-chip design;
novel distributed VC-based router architecture;
physical implementation flexibility;
physical placement;
scalable NoC design;
self-test structures;
silicon-aware implementations;
virtual channels;
Clocks;
Delays;
Pipeline processing;
Ports (Computers);
Registers;
Resource management;
Routing;
19.
Using packet information for efficient communication in NoCs
机译:
使用数据包信息在NoC中进行有效通信
作者:
Venkatesh Rengasamy Prasanna
;
Mutyam Madhu
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
low-power electronics;
network routing;
network-on-chip;
optimisation;
IPC gains;
IPC speedup;
NoC;
PARSEC;
SPLASH2;
VCTM routing;
contemporary Hamiltonian routing;
contemporary optimization;
efficient communication;
energy consumption;
heavy network traffic;
multithreaded workloads;
network latency;
network throughputs;
network-on-chip;
packet information;
underlying network;
Benchmark testing;
Coherence;
Optimization;
Ports (Computers);
Routing;
Three-dimensional displays;
Unicast;
20.
FMEA-based analysis of a Network-on-Chip for mixed-critical systems
机译:
基于FMEA的混合关键系统片上网络分析
作者:
Rambo Eberle /A/.
;
Tschiene Alexander
;
Diemer Jonas
;
Ahrendts Leonie
;
Ernst Rolf
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
failure analysis;
logic design;
network-on-chip;
FMEA-based analysis;
NoC;
failure mode and effects analysis;
fault-related weakness;
mixed-critical systems;
network-on-chip;
packet switching;
system-level analysis;
Circuit faults;
Fabrics;
Fault tolerance;
Fault tolerant systems;
Ports (Computers);
Registers;
Routing;
21.
Sampling-based approaches to accelerate network-on-chip simulation
机译:
基于采样的方法可加速片上网络仿真
作者:
Wenbo Dai
;
Jerger Natalie Enright
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
integrated circuit modelling;
network-on-chip;
sampling methods;
NoCLabs;
NoCPoint;
architectural complexity;
network-on-chip simulation;
sampling methodology;
sampling techniques;
statistical sampling theory;
traffic phase behavior;
Accuracy;
Sea measurements;
Sociology;
Statistics;
Synchronization;
Vectors;
22.
Sharing and placement of on-chip laser sources in silicon-photonic NoCs
机译:
在硅光子NoC中共享和放置片上激光源
作者:
Chao Chen
;
Tiansheng Zhang
;
Contu Pietro
;
Klamkin Jonathan
;
Coskun Ayse K.
;
Joshi Akanksha
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
integrated circuit layout;
lasers;
network-on-chip;
optical interconnections;
three-dimensional integrated circuits;
3D stacked system;
electrical links;
global on-chip communications;
on-chip laser sources placement;
on-chip laser sources sharing;
on-chip photonic device;
optical devices;
optical waveguides;
physical layout constraints;
silicon photonic NoC;
Laser modes;
Laser theory;
Optical waveguides;
Photonics;
Power lasers;
System-on-chip;
Waveguide lasers;
23.
Dynamic synchronizer flip-flop performance in FinFET technologies
机译:
FinFET技术中的动态同步器触发器性能
作者:
Buckler Mark
;
Vaidya Arpan
;
Xiaobin Liu
;
Burleson Wayne
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
MOSFET;
flip-flops;
network-on-chip;
DVFS;
FinFET technology;
dynamic flip-flops;
dynamic synchronizer;
dynamic voltage and frequency scaling;
flip-flop performance;
forward biasing;
planar technology;
predictive models;
tau value;
Delays;
FinFETs;
Integrated circuit modeling;
Inverters;
Simulation;
Synchronization;
Temperature sensors;
FinFET;
GALS;
Metastability;
NoC;
Synchronizers;
24.
An OFDMA based RF interconnect for massive multi-core processors
机译:
用于大型多核处理器的基于OFDMA的RF互连
作者:
Unlu Eren
;
Hamieh Mohamad
;
Moy Christophe
;
Ariaudo Myriam
;
Louet Yves
;
Drillet Frederic
;
Briere Alexandre
;
Zerioul Lounis
;
Denoulet Julien
;
Pinna Andrea
;
Granado Bertrand
;
Pecheux Francois
;
Duperrier Cedric
;
Quintanel Sebastien
;
Romain Olivier
;
Bourdel Emmanuelle
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
OFDM modulation;
frequency division multiple access;
integrated circuit design;
integrated circuit interconnections;
microprocessor chips;
multiprocessing systems;
radiofrequency interconnections;
CMP design;
OFDMA RF interconnect;
bandwidth reconfigurability;
bimodal on-chip packets;
chip multiprocessor design;
heterogeneous on-chip traffic;
massive multicore processors;
modulation order selection policy;
orthogonal frequency division multiple access;
Bandwidth;
OFDM;
Payloads;
Protocols;
Radio frequency;
Registers;
System-on-chip;
25.
A novel non-minimal/minimal turn model for highly adaptive routing in 2D NoCs
机译:
一种新颖的非最小/最小转弯模型,用于二维NoC中的高度自适应路由
作者:
Kumar Manoj
;
Laxmi V.
;
Gaur M.S.
;
Daneshtalab Masoud
;
Pankaj
;
Seok-Bum Ko
;
Zwolinski Mark
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
integrated circuit interconnections;
logic design;
network routing;
network-on-chip;
2D NoCs;
ECDG;
acyclic channel dependency graph;
bus-based interconnects;
deadlock freedom;
extended channel dependency graph;
highly adaptive routing;
microarchitectures;
networks-on-chips;
nonminimal turn model;
Adaptation models;
Adaptive systems;
Glass;
Nickel;
Routing;
Solid modeling;
System recovery;
Networks-on-Chip;
deadlock freedom;
degree of adaptiveness;
non-minimal paths;
routing;
26.
ICARO: Congestion isolation in networks-on-chip
机译:
ICARO:片上网络中的拥塞隔离
作者:
Escamilla Jose V.
;
Flich Jose
;
Garcia Pedro Javier
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
fault tolerance;
isolation technology;
network-on-chip;
aggressive traffic flow patterns;
computing power;
congestion isolation;
dedicated virtual networks;
fault-tolerance mechanisms;
head-of-line blocking effect;
network interconnection;
networks-on-chip;
overall network performance improvement;
oversubscribed resources;
power-saving;
single chip;
Measurement;
Nickel;
Ports (Computers);
Proposals;
Registers;
Routing;
Switches;
27.
A loosely synchronizing asynchronous router for TDM-scheduled NOCs
机译:
用于TDM调度的NOC的松散同步异步路由器
作者:
Kotleas I.
;
Humphreys D.
;
Sorensen R.B.
;
Kasapaki E.
;
Brandner F.
;
Sparso J.
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
asynchronous circuits;
flip-flops;
integrated circuit design;
network routing;
network-on-chip;
synchronisation;
EDA tools;
TDM scheduling;
TDM-scheduled NOC;
asynchronous circuit techniques;
asynchronous router design;
click-element templates;
cycles-TDM-slots;
flip-flops;
idle links;
relaxed synchronization;
router ports;
standard cell libraries;
switching activity;
time-division-multiplexed networks-on-chip;
Clocks;
Nickel;
Ports (Computers);
Routing;
Schedules;
Synchronization;
Time division multiplexing;
28.
Bubble sharing: Area and energy efficient adaptive routers using centralized buffers
机译:
气泡共享:使用集中式缓冲区的区域和节能型自适应路由器
作者:
Hassan Syed Minhaj
;
Yalamanchili Sudhakar
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
buffer circuits;
integrated circuit design;
low-power electronics;
network routing;
network-on-chip;
area efficient adaptive router;
bubble sharing;
central buffers;
centralized buffers;
cyclic network paths;
edge buffers;
energy efficient adaptive router;
multiple virtual channels;
radix-buffer space trade-offs;
router buffering space requirement;
Adaptive systems;
Aerospace electronics;
Grippers;
Ports (Computers);
Routing;
Routing protocols;
System recovery;
29.
Design of a low power NoC router using Marching Memory Through type
机译:
使用行进存储直通型低功耗NoC路由器的设计
作者:
Yasudo Ryota
;
Kagami Takahiro
;
Amano Hideharu
;
Nakase Yasunobu
;
Watanabe Manabu
;
Oishi Tsukasa
;
Shimizu Tsuyoshi
;
Nakamura T.
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
buffer circuits;
flip-flops;
multiprocessing systems;
network routing;
network-on-chip;
MMTH;
Renesas process;
frequency 2 GHz;
input buffers;
latency reduction scheme;
look-ahead routing;
low power NoC router;
many core processors;
marching memory through type;
network-on-chip;
power consumption;
register-based FIFOs;
size 40 nm;
transparent latches;
Clocks;
Delays;
Latches;
Ports (Computers);
Power demand;
Random access memory;
Routing;
30.
Technology assessment of silicon interposers for manycore SoCs: Active, passive, or optical?
机译:
用于许多核心SoC的硅中介层的技术评估:有源,无源还是光学?
作者:
Thonnart Yvain
;
Zid Mounir
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
CMOS integrated circuits;
elemental semiconductors;
integrated circuit interconnections;
network-on-chip;
silicon;
system-on-chip;
CMOS interposers;
DC lines;
NoC;
RF microstrip lines;
Si;
SoC;
clock distribution;
data synchronization;
interconnect topology;
multipoint links;
optical devices;
optical interposers;
photonic devices;
silicon interposer 2.5D stacking;
silicon interposers;
thermal management;
CMOS integrated circuits;
High-speed optical techniques;
Optical buffering;
Optical receivers;
Optical transmitters;
Synchronization;
Interposers;
Networks-on-Chip;
Silicon Photonics;
31.
Towards compelling cases for the viability of silicon-nanophotonic technology in future manycore systems
机译:
在未来的许多核心系统中寻求硅纳米光子技术可行性的令人信服的案例
作者:
Ramini Luca
;
Tatenguem Fankem Herve
;
Ghiribaldi Alberto
;
Grani Paolo
;
Ortin-Obon Marta
;
Boos Anja
;
Bartolini Sandro
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
integrated optoelectronics;
nanophotonics;
network-on-chip;
silicon;
ONoC;
Si;
energy efficiency;
low-power on-chip communication;
manycore systems;
optical networks-on-chip;
silicon-nanophotonic technology;
uncommon cross-layer visibility;
Fabrics;
Optical fiber networks;
Optical interconnections;
Optical modulation;
Optical waveguides;
Synchronization;
Through-silicon vias;
32.
Design trade-offs in energy efficient NoC architectures
机译:
节能NoC架构中的设计权衡
作者:
Psathakis Antonis
;
Papaefstathiou Vassilis
;
Katevenis Manolis
;
Pnevmatikatos Dionisios
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
energy conservation;
integrated circuit design;
low-power electronics;
network-on-chip;
2D mesh;
design configuration parameters;
design trade-offs;
energy delay product;
energy efficient NoC architectures;
express channels;
flit widths;
network separation;
virtual channels;
Bandwidth;
Buffer storage;
Clocks;
Delays;
Pipeline processing;
System-on-chip;
Wires;
33.
Effective abstraction for response proof of communication fabrics
机译:
有效抽象,用于通信结构的响应证明
作者:
Ray Sambaran
;
Malik S.
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
computability;
formal verification;
backbone based response verification algorithm;
communication fabrics;
ranking structure discovery;
satisfiability backbone-based formulation;
scalable proof technique;
Algorithm design and analysis;
Computer bugs;
Concrete;
Fabrics;
Model checking;
Safety;
System recovery;
34.
DiAMOND:Distributed alteration of messages for on-chip network debug
机译:
DiAMOND:用于片上网络调试的分布式消息更改
作者:
Abdel-Khalek Rawan
;
Bertacco Valeria
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
network routing;
network-on-chip;
program debugging;
NoC;
bug detection;
control-flow portion;
data content;
debug data;
debug information;
debugging;
detection scheme;
distributed alteration of messages for on-chip network debug;
functional bugs;
internal operations observability;
network traffic;
networks-on-chip;
packet interactions;
packet latencies;
routers;
Buffer storage;
Computer bugs;
Data collection;
Emulation;
Ports (Computers);
Radiation detectors;
System-on-chip;
35.
Single-cycle collective communication over a shared network fabric
机译:
共享网络结构上的单周期集体通信
作者:
Krishna Tushar
;
Li-Shiuan Peh
会议名称:
《IEEE/ACM International Symposium on Networks-on-Chip》
|
2014年
关键词:
microprocessor chips;
multicast protocols;
network routing;
network-on-chip;
NoC design;
PARSEC benchmarks;
SPLASH-2 benchmarks;
communications on-chip;
latch messages;
on-chip network;
scalable coherence protocols;
shared network fabric;
single-cycle collective communication;
Delays;
Routing protocols;
Switches;
Throughput;
Unicast;
Wires;
意见反馈
回到顶部
回到首页