首页> 中文期刊> 《软件导刊》 >基于FPGA的数字调制器设计与实现

基于FPGA的数字调制器设计与实现

         

摘要

应用两种方法实现数字调制器。一种用DSP Builder构建模型然后转换为VHDL语言,另一种直接用VHDL语言编程实现。通过比较两种方法,得出结论:DSP Builder方法比较简单,不需要复杂的编程,但占用的资源比较多;VHDL方法编程比较难,但实现简单功能时占用资源少。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号