首页> 中文期刊> 《计算机工程与应用》 >SOC系统中C到VHDL的转换

SOC系统中C到VHDL的转换

         

摘要

近年来,SOC设计方法学的研究越来越引起人们的注意.C语言适合对系统进行高层次的描述.C语言的系统描述经过软硬件划分之后,要求将硬件实现部分转换为适合于综合的VHDL语言.文章通过分析两种语言的区别,提出并实现了适于表达C语言描述内容的VHDL结构形式,并对几种C语言结构提出合理的转换方案.实验表明,文章提出的方案是正确的和有效的.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号