首页> 外文会议>Optical microlithography XXX >Study of aging behaviour on 193nm Phase Shift Masks
【24h】

Study of aging behaviour on 193nm Phase Shift Masks

机译:193nm相移掩模的老化行为研究

获取原文
获取原文并翻译 | 示例

摘要

Chrome migration or aging phenomenon is known for 193nm binary photomasks since a few years. 193nm irradiations and time generate an oxide growth on chrome sidewalls and then cause a non-uniform increase of critical dimensions (CD). If not prevented or detected early enough, wafer fabs are likely to face process drifts, defectivity issues and even lower yield on wafers in the worst cases. Fortunately, some solutions have been put in place in the industry. A standard cleaning and repel service at the maskshop has been demonstrated as efficient to remove the grown materials and get the mask CD back on target. Some detection methods have been already described in literature, such as wafer CD intrafield monitoring (ACLV), giving reliable results but also consuming additional SEM time with less precision than direct reticle measurement. Another approach is to monitor the CD uniformity directly on the photomask, concurrently with defect inspection for regular requalification to production for wafer fabs. This enables ultimately to trigger the preventive cleanings rather than on predefined thresholds. However, may the 193nm Phase Shift Masks (PSM) be impacted too? In other words, should wafer fabs pay attention to this form of aging? Indeed, some publications report a growth of SiO_2, leading to the development of a high duration MoSi (modification of MoSi composition). This study will characterize the aging behaviour on a 193nm PSM contact hole layer, 40nm logic technology node. During this study, the aging phenomenon has been accelerated with the use of a test bench, to reach a CD increase up to 1 lnm after a cumulated exposure dose of 10kJ/cm~2 (equivalent to exposures of >32,000 wafers 300mm). Two dice were compared, one kept as reference without any exposure, whereas the other die was aged on the accelerated test bench. Exhaustive characterization has been performed, with CD measurements on the mask and on wafers, evaluation of lithography process windows for usual patterns and most critical features (Optical Proximity Correction hotspots). It appears that despite a consistent CD increase on the mask, the impact on wafer can be neglected, at least at this amount of exposures. Aerial CD were also analysed through a Zeiss WLCD™ to enable a prediction of wafer impact. An advanced inspection tool (KLA-Tencor X5.2 model) has been challenged as an inline monitoring method to detect the aging degradation on PSM. The Intensity Critical Dimension Uniformity option (iCDU™) was firstly developed to provide feed-forward CDU maps for scanners intrafield corrections, from arrayed dense structures on memory masks. Due to layout complexity and differing feature types, CDU monitoring on logic masks used to pose unique challenges. CDU monitoring on logic masks is now available, the latest Delta-Die and Delta-Time options gives all the needed information, as shown in this paper. In this study, iCDU has demonstrated its ability to catch a slight degradation of CD uniformity. In the end, this study shows evidences that standard cleanings used in maskshops cannot recover the mask back to its original CD. Finally, Transmission Electron Microscopy (TEM) was used to confirm the chemical nature of the grown material on sidewalls. TEM cuts provide a comparison between a production mask (aging over many years in production) and the test mask (accelerated aging on a test bench).
机译:几年来,人们对于193nm二进制光掩模已知铬迁移或老化现象。 193nm的辐射和时间会在铬的侧壁上生成氧化物,然后导致临界尺寸(CD)的不均匀增加。如果不能尽早防止或发现,在最坏的情况下,晶圆厂很可能会面临工艺漂移,缺陷问题以及更低的晶圆良率。幸运的是,一些解决方案已在行业中实施。口罩车间的标准清洁和排斥服务已被证明可以有效去除生长的物质并使口罩CD重新对准目标。某些检测方法已经在文献中进行了描述,例如晶圆CD场内监控(ACLV),其结果可靠,但比起直接标线片测量的精度低,却要花费额外的SEM时间。另一种方法是直接在光掩模上监视CD均匀性,同时进行缺陷检查以定期重新鉴定晶圆厂的生产。这最终能够触发预防性清洁,而不是在预定义的阈值上。但是,193nm相移掩模(PSM)是否也会受到影响?换句话说,晶圆厂应该注意这种老化吗?实际上,一些出版物报道了SiO_2的增长,从而导致了高持续时间的MoSi(MoSi组成的改性)的发展。这项研究将表征193nm PSM接触孔层,40nm逻辑技术节点上的老化行为。在这项研究中,通过使用试验台加速了老化现象,在累积曝光剂量为10kJ / cm〜2(相当于300mm大于32,000个晶片)后,CD增大至1 lnm。比较了两个骰子,一个作为参考,没有任何暴露,而另一个骰子则在加速测试台上老化。已经进行了详尽的表征,包括在掩模和晶圆上进行CD测量,评估平版印刷工艺窗口的常规图案和最关键的特征(光学邻近校正热点)。看起来,尽管掩模上的CD持续增加,但至少在此曝光量下,可以忽略对晶片的影响。还通过Zeiss WLCD™对航拍CD进行了分析,以预测晶圆的撞击。先进的检查工具(KLA-Tencor X5.2模型)已作为一种在线监测方法受到挑战,可以检测PSM上的老化退化。 Intensity Critical Dimension Uniformity选项(iCDU™)最初是为了从内存掩模上排列的密集结构为扫描仪进行场内校正提供前馈CDU映射而开发的。由于布局的复杂性和不同的特征类型,对逻辑掩码进行CDU监视通常会带来独特的挑战。 CDU监视逻辑掩膜现已可用,最新的Delta-Die和Delta-Time选项提供了所有必需的信息,如本文所示。在这项研究中,iCDU已证明其能够捕获CD均匀性的轻微下降。最后,这项研究表明有证据表明,口罩车间使用的标准清洁剂无法将口罩恢复到其原始CD。最后,使用透射电子显微镜(TEM)确认侧壁上生长材料的化学性质。 TEM切割提供了生产掩模(在生产中已使用多年)和测试掩模(在测试台上加速老化)之间的比较。

著录项

  • 来源
    《Optical microlithography XXX》|2017年|101471Z.1-101471Z.15|共15页
  • 会议地点 San Jose(US)
  • 作者单位

    STMicroelectronics Crolles, 850 rue Jean Monnet, 38926 Crolles Cedex, France;

    DNP Photomask Europe, Via C. Olivetti, 2/A, 1-20864 Agrate Brianza, Italy;

    KLA Tencor - RAPID Division, One Technology Way, Milpitas, CA 95035;

    KLA Tencor - RAPID Division, One Technology Way, Milpitas, CA 95035;

    STMicroelectronics Crolles, 850 rue Jean Monnet, 38926 Crolles Cedex, France;

    STMicroelectronics Crolles, 850 rue Jean Monnet, 38926 Crolles Cedex, France;

    STMicroelectronics Crolles, 850 rue Jean Monnet, 38926 Crolles Cedex, France;

    DNP Photomask Europe, Via C. Olivetti, 2/A, 1-20864 Agrate Brianza, Italy;

  • 会议组织
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

    PSM; mask aging; intrafield CD degradation; iCDU;

    机译:PSM;面膜老化;场内CD降解;科大;
  • 入库时间 2022-08-26 13:44:45

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号