掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Optical microlithography XXX
Optical microlithography XXX
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
通信工程
中国高等学校学术文摘·光电子学
电讯技术
现代电影技术
吉林大学学报(信息科学版)
卫星电视与宽带多媒体
红外与毫米波学报
中国信息界
天津通信技术
电源技术应用
更多>>
相关外文期刊
Circuitree
IEEE Transactions on Vehicular Technology
Printed circuit fabrication
Microelectronics journal
Journal of Cryptology
International journal of communication networks and distributed systems
ITU news
IEICE Transactions on Communications
Elektronikpraxis
International journal of mobile network design and innovation
更多>>
相关中文会议
2011中国数字电视与网络发展高峰论坛暨第十九届全国有线电视综合信息网学
2002年中国国际广播电视信息网络技术交流会
中国西部地区电视技术协会第十五届(2003)年会
第七次华北五省市电子显微学研讨会及第九届全国实验室协作服务交流会
第五届变频器行业企业家论坛
2014年全国电磁兼容与防护技术学术会议
第六届全国印制电路学术年会
全国第二届塑料光纤、聚合物光子器件研究、生产和应用会议
NCTC·2014第十五届全国有线电视技术讨论会
第六届全国消费电子技术交流会
更多>>
相关外文会议
Proceedings of 1996 China-Japan joint meeting on microwaves
ACM MobiCom and MobiHoc 2010
Metallization of flexible electronics
Symposium Proceedings vol.913; Symposium on Transistor Scaling-Methods, Materials and Modeling; 20060418-19; San Francisco,CA(US)
Symposium Proceedings vol.840; Symposium on Neutron and X-Ray Scattering as Probes of Multiscale Phenomena; 20041129-1201; Boston,MA(US)
Wired/wireless internet communications
Laser applications in microelectronic and optoelectronic manufacturing (LAMOM) XVI
IPC Printed Circuits Expo, Apex, and the Designers Summit; 20070220-22; Los Angeles,CA(US)
International Nano-Optoelectronic Workshop; 20070729-0811; Beijing and Lanzhou(CN)
Fundamentals of Energy Storage and Conversion
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Using Heuristic Optimization to Set SRAF Rules
机译:
使用启发式优化设置SRAF规则
作者:
ChangAn Wang
;
Norman Chen
;
Chidam Kallingal
;
William Wilkinson
;
Jian Liu
;
Alan Leslie
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
sub-resolution assisted feature;
SRAF;
RBSRAF;
MBSRAF;
OPC;
genetic algorithm;
GA;
heuristic optimization;
PVBand and runtime;
2.
Scanner-to-scanner CD analysis and control in an HVM environment
机译:
HVM环境中的扫描仪到CD的分析和控制
作者:
Du Hyun Beak
;
Ju Hee Shin
;
Tony Park
;
Dong Kyeng Han
;
Jin Phil Choi
;
Jeong Heung Kong
;
Young Seog Kang
;
Se Yeon Jang
;
Peter Nikolsky
;
Chris Strolenberg
;
Noh-Kyoung Park
;
Khalid Elbattay
;
Vito Tomasello
;
Austin Peng
;
Anand Guntuka
;
Zhao-Ze Li
;
Ronald Goossens
;
Machi Ryu
;
Ja
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Proximity matching;
CD mismatch;
Proximity bias;
CD bias;
Budget breakdown;
CD variation;
PromaBB;
3.
Experimental Characterization of NTD Resist Shrinkage
机译:
NTD抗收缩性的实验表征
作者:
Bernd Kuchler
;
Thomas Muelders
;
Hironobu Taoka
;
Weimin Gao
;
Ulrich Klostermann
;
Sou Kamimura
;
Grozdan Grozev
;
Masahiro Yoshidome
;
Michihiro Shirakawa
;
Waikin Li
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Negative Tone Development;
Post Exposure Bake;
Resist shrinkage;
Resist model;
Photo resist simulation;
4.
Reducing the impact of etch-induced pattern shift on overlay by using lithography and etch tool corrections
机译:
通过使用光刻和蚀刻工具校正来减少蚀刻引起的图案偏移对覆盖层的影响
作者:
Michael Kubis
;
Rich Wise
;
Charlotte Chahine
;
Katja Viatkina
;
Samee Ur-Rehman
;
Geert Simons
;
Mircea Dusa
;
David Hellin
;
Daniel Sobieski
;
Wenzhe Zhang
;
Christiane Jehoul
;
Patrick Jaenen
;
Philippe Leray
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Immersion lithography;
plasma etch;
overlay;
wafer edge;
5.
Interlayer Verification Methodology for Multi-patterning Processes
机译:
多图案工艺的层间验证方法
作者:
Sunwook Jung
;
Sejin Park
;
Jungmin Kim
;
Jinhee Kim
;
Sturtevant John
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Multi-pattering;
Design Methodology;
Interlayer Error;
6.
Full chip hierarchical inverse lithography: A solution with perfect symmetry
机译:
全芯片分层反光刻:完美对称的解决方案
作者:
Bayram Yenikaya
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
ILT;
inverse lithography;
Model-Based SRAFs;
Sub-Resolution;
Assist-Feature;
RET;
OPC;
7.
Automated detection and classification of printing sub-resolution assist features using machine learning algorithms
机译:
使用机器学习算法自动检测和分类打印亚分辨率辅助功能
作者:
Kriti K. Kohli
;
Mark Jobes
;
Ioana Graur
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Machine learning;
SRAFs;
computer vision;
DUV;
optical lithography;
OPC;
8.
Enhanced OPC Recipe Coverage and Early Hotspot Detection Through Automated Layout Generation and Analysis
机译:
通过自动布局生成和分析,增强了OPC配方的覆盖范围和早期热点检测
作者:
Ayman Hamouda
;
Mohamed Bahnas
;
Dan Schumacher
;
Ioana Graur
;
Ao Chen
;
Kareem Madkou
;
Hussein Ali
;
Jason Meiring
;
Neal Lafferty
;
Chris McGinty
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Optical Proximity Correction;
Design Technology Co-Optimization;
9.
Performance analyses of plasmonic lithography
机译:
等离子光刻性能分析
作者:
Xi Chen
;
Gaofeng Liang
;
L. Jay Guo
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Interference lithography;
plasmonics;
roughness;
surface plasmon;
superlens;
hyperbolic metamaterial;
nano-manufacturing;
spatial filtering;
optical waveguide;
interference;
next generation lithography (NGL);
10.
Effective use of Aerial Image Metrology for Calibration of OPC Models
机译:
有效利用航空影像计量学对OPC模型进行校准
作者:
Ao Chen
;
Yee Mei Foong
;
Thomas Thaler
;
Ute Buttgereit
;
Angeline Chung
;
Andrew Burbine
;
John Sturtevant
;
Chris Clifford
;
Kostas Adam
;
Peter DeBisschop
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
OPC;
modeling;
metrology;
photomask;
AIMS;
WLCD;
11.
Overlay Statistics for Multiple Exposure Patterning
机译:
多重曝光图案的叠加统计
作者:
Allen H. Gabor
;
Nelson M. Felix
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Overlay;
Multiple Exposure;
Image Placement Error;
Population Statistics;
Process Assumptions;
Overlay Metrology;
12.
FinFET-induced Anisotropy in Printing of Implantation Shapes
机译:
FinFET诱导的植入形状印刷中的各向异性
作者:
Xiren Wang
;
Yuri Granik
;
Nikolay Elistratov
;
Christian Zuniga
;
Ana-Maria Armeanu
;
Junghwan Choi
;
Youngseok Woo
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Rigorous topography modeling;
compact 3D stack topography modeling;
ion implantation;
FinFET directionality;
CD;
OPC;
13.
Reticle Heating Feed-forward Control (RHC2) on NXT:1980Di immersion scanner for enhanced on-product overlay
机译:
NXT:1980Di浸没式扫描仪上的光罩加热前馈控制(RHC2)可增强产品覆盖
作者:
Young Ha Kim
;
Jonghoon Jang
;
Byeong Soo Lee
;
Hyunwoo Hwang
;
Youngsun Nam
;
Jeong-Heung Kong
;
Young Seog Kang
;
Se-Yeon Jang
;
Bart Paarhuis
;
Jeroen van der Wielen
;
Barry Moest
;
Joris Jongen
;
Stefan Weichselbaum
;
Niek Verbeek
;
Marco Stavenga
;
Roelof de Graaf
;
Richard Droste
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Overlay;
reticle heating;
immersion scanner;
14.
Layout Independent Leveling (LIL) on NXT:1980Di immersion scanners for enhanced productivity
机译:
NXT:1980Di浸入式扫描仪上的布局独立水准仪(LIL),可提高生产率
作者:
Bram van Hoof
;
Arjan Holscher
;
Ralf Gommers
;
Jeroen Cottaar
;
Marcel Raas
;
Samah Khalek
;
Jan van Kemenade
;
Maarten Voncken
;
Roelof de Graaf
;
Elliot Oti
;
Stefan Weichselbaum
;
Richard Droste
;
ByeongSoo Lee
;
Chansam Chang
;
Young Seog Kang
;
Young Ha Kim
;
Jeong-Heung Kong
;
Jong
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
level sensor;
leveling;
productivity;
immersion scanner;
overlay;
15.
Improving the topography performance of ion implantation resist
机译:
改善离子注入抗蚀剂的形貌性能
作者:
Lisong Dong
;
Wenhui Chen
;
Xiaojing Su
;
Yayi Wei
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Microlithography;
Fin-FET;
ion implantation;
resist topography;
gate;
16.
Eliminate the Vibration Defect for Laser Interference Lithography Using an Optical Chopper System
机译:
使用光学斩波器系统消除激光干涉光刻的振动缺陷
作者:
Yin-Kuang Yang
;
Hsuan-Ying Mai
;
Te-Hsun Lin
;
Yu-Hua Dzeng
;
Chien-Chung Fu
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Laser interference lithography;
vibration defects;
Moire fringe;
17.
Lithography and OPC friendly triple patterning decomposition method for VIA
机译:
光刻和OPC友好的VIA三图案分解方法
作者:
Guanyong Yan
;
Liang Li
;
Xiao Chen
;
Qingwei Liu
;
Yuanying Tu
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
triple patterning;
forbidden pitch;
balance;
ArF immersion lithography;
multiple patterning;
18.
Constructing Freeform Source through the Combination of Neural Network and Binary Ant Colony Optimization
机译:
神经网络与二元蚁群算法相结合构建自由形式源
作者:
Frederick Lie
;
Hung-Fei Kuo
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Ant colony optimization (ACO);
Computational lithography;
depth of focus (DOF);
artificial neural network (ANN);
process window (PW);
source optimization (SO);
19.
Excimer laser gas usage reduction technology for semiconductor manufacturing
机译:
减少准分子激光气体在半导体制造中的使用技术
作者:
Masanori Yashiro
;
Takuma Oouchi
;
Hiroaki Tsushima
;
Akihiko Kurosu
;
Takeshi Ohta
;
Takashi Matsunaga
;
Hakaru Mizoguchi
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Lithography;
Green;
Rare gas;
Neon;
Excimer laser;
hTGM;
20.
Application of optical similarity in OPC model calibration
机译:
光学相似度在OPC模型校准中的应用
作者:
Edita Tejnil
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
OPC model calibration;
computational lithography;
optical models for OPC;
feature comparison;
optical kernels;
pattern coverage;
21.
Addressing Optical Proximity Correction Challenges from Highly
机译:
应对来自高度光学矫正的挑战
作者:
Nonlinear Models
;
Stephen Jang
;
Yunqiang Zhang
;
Tom Cecil
;
Howard Cai
;
Amyn Poonawala
;
Matt St John
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
MB-OPC;
ILT;
Nonlinear;
CD;
EPE;
22.
Novel Methodology to optimize Wafer Alignment to enhance 14nm On Product Overlay
机译:
优化晶圆对准以增强14nm产品覆盖的新型方法
作者:
Pavan Samudrala
;
Woong Jae Chung
;
Lokesh Subramany
;
Haiyong Gao
;
Nyan Aung
;
Seung Chul Oh
;
Shawn Lee
;
Erik Delvigne
;
Blandine Minghetti
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
LIS Alignment;
APC;
Overlay;
23.
Advanced Application of Pattern-Aware OPC
机译:
模式感知OPC的高级应用
作者:
James Chen
;
Shin-Shing Yeh
;
Alan Zhu
;
Bayram Yenikaya
;
Fan-Hsuan Hsu
;
Yung-Ching Mai
;
Lawrence Lin
;
Nelson Lai
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
pattern match;
Model-based Sub-resolution assist features(MBSRAF);
24.
Image Acquisition and Motion positioning system Design Based on the Projection Lens Wavefront Aberration Measurement
机译:
基于投影镜头波前像差测量的图像采集与运动定位系统设计
作者:
Xiaoquan Han
;
Bing Li
;
Yuejing Qi
;
Guangyi Liu
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
lithography;
wavefront aberration detection;
precise motion positioning;
image acquisition system;
shearing interference;
25.
Process margin improvement through finger-print removal based on scanner leveling data
机译:
通过基于扫描仪水准仪数据的指纹去除来提高工艺利润
作者:
Young Jun Kim
;
Tony Park
;
Jeong Heung Kong
;
Dong Kyung Han
;
Jin Phil Choi
;
Young Seog Kang
;
Se Yeon Jang
;
Jeroen Cottaar
;
Jan-Pieter van Delft
;
Jeroen Rutten
;
Axel von Sydow
;
Marcel Bontekoe
;
Maarten Boogaarts
;
Arjan Donkerbroek
;
Ruiyue Ouyang
;
Balaji Rangarajan
;
Khalid
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Leveling;
iFPC;
Scanner;
process window;
fingerprint correction;
focus;
26.
450mm Lithography Status for High Volume Manufacturing
机译:
450mm光刻技术在大批量生产中的应用
作者:
Christopher R. Carr
;
Hsin-Hui Huang
;
HyoungKook Kim
;
Shannon Dunn
;
Jasper P. Munson
;
Russell A. Black
;
Preston A. Crupe
;
Victor A. Perez
;
Takuya Kuroda
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
450mm;
Lithography;
CDU;
Overlay;
Nikon;
SCREEN;
Focus;
27.
Investigation of 3D Photoresist Profile Effect in Self-Aligned Patterning through Virtual Fabrication
机译:
通过虚拟制作的自对准图案中的3D光刻胶轮廓效应的研究
作者:
Mustafa B. Akbulut
;
Jiangjiang (Jimmy) Gu
;
Andras Pap
;
Vasanth Allampalli
;
Daniel Faken
;
Joseph Ervin
;
Ken Greiner
;
David Fried
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Multiple Patterning;
Virtual Fabrication;
Photoresist Sidewall Profile;
Line Edge Roughness;
FinFET;
Interconnects;
3D Photoresist;
28.
Optimal structure sampling for etch model calibration
机译:
用于蚀刻模型校准的最佳结构采样
作者:
Francois Weisbuch
;
Andrey Lutich
;
Jirka Schatz
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
OPC;
model;
etch;
contour;
sampling;
clustering;
kernel;
parameter space;
SEM;
contour;
29.
Source Defect Impact on Pattern Shift
机译:
源缺陷对模式偏移的影响
作者:
Artak Isoyan
;
Chander Sawh
;
Lawrence S. Melvin III
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
OPC;
Source Defect;
Pattern Shift;
SMO;
30.
Resist 3D Aware Mask Solution with ILT for Hotspot Repair
机译:
使用ILT抵抗3D感知面罩解决方案以修复热点
作者:
Kosta Selinidis
;
Wolfgang Hoppe
;
Thomas Schmoeller
;
Thuc Dam
;
Kevin Hooker
;
Guangming Xiao
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
ILT;
Inverse Lithography;
Computational Lithography;
OPC;
Resist 3D;
31.
A physical model for innovative laser direct write lithography
机译:
创新的激光直接写入光刻的物理模型
作者:
Temitope Onanuga
;
Maximilian Rumler
;
Andreas Erdmann
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
laser direct write;
photoresist model;
polymerization;
development;
lithography simulation;
32.
Neuroelectronic Device Process Development and Challenge
机译:
神经电子设备工艺开发与挑战
作者:
Gymama Slaughter
;
Matthew Robinson
;
Joel Tyson
;
Chen J. Zhang
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Microfabrication;
polyimide;
flexibility;
neural probes;
biocompatibility;
33.
Accurate Characterization of 2D Etch Bias by Capturing Surrounding Effects from Resist and Trench Areas
机译:
通过捕获阻力区和沟槽区的周围影响来精确表征2D蚀刻偏差
作者:
Yongfa Fan
;
Leiwu Zheng
;
Mu Feng
;
Jinze Wang
;
Qiao Zhao
;
Jen-Shiang Wang
;
Rafael Howell
;
Keith Gronlund
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
etch;
modeling;
OPC;
34.
Si-photonics waveguides manufacturability using advanced RET solutions
机译:
使用先进的RET解决方案的Si-光子波导可制造性
作者:
Author (s): N. Zeggaoui
;
B. Orlando
;
G Kerrien
;
V. Farys
;
E. Yesilada
;
S. Cremer
;
A. Tritchkov
;
V. Liubich
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Si-Photonics;
Optical lithography;
OPC;
SRAF;
ILT;
LPE;
35.
Experimental verification of on-product overlay improvement by intra-lot overlay control using metrology based grouping
机译:
通过基于度量的分组的批内覆盖控制来改善产品上覆盖的实验验证
作者:
Honggoo Lee
;
Junghwan Moon
;
Jaesun Woo
;
Sangjun Han
;
Changrock Song
;
Marc Hauptmann
;
Weitian Kou
;
Alexander Ypma
;
Hyun-Woo Yu
;
Hank Han
;
Michiel Kupers
;
Paul Boecker
;
Daan Slotboom
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Optical Lithography;
Overlay;
Intra-lot Overlay Control;
Wafer Level Control;
36.
On-product overlay improvement with an enhanced alignment system
机译:
通过增强的对准系统改善产品覆盖
作者:
Tomonori Dosho
;
Yuji Shiba
;
Takanobu Okamoto
;
Hajime Yamamoto
;
Yujiro Hikida
;
Jay Brown
;
Go Ichinose
;
Masahiro Morita
;
Yuichi Shibazaki
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
On-product overlay;
Lithography;
Distortion;
Alignment;
Wafer by wafer correction;
37.
The ArF Laser for the next generation multiple-patterning immersion lithography supporting green operations and leading edge processes
机译:
用于下一代多图案浸没式光刻的ArF激光器,支持绿色操作和前沿工艺
作者:
Hirotaka Miyamoto
;
Takahito Kumazaki
;
Hiroaki Tsushima
;
Akihiko Kurosu
;
Takeshi Ohta
;
Satoru Bushida
;
Takashi Matsunaga
;
Hakaru Mizoguchi
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
E95 bandwidth stability;
Lower E95 bandwidth;
Tunable E95 bandwidth;
Helium-free operation;
Neon reduction;
38.
Immersion Lithography Scanner Resolution Performance Demonstration on 450mm Substrates
机译:
在450mm基板上的浸没式光刻扫描仪分辨率性能演示
作者:
Christopher R. Carr
;
Hsin-Hui Huang
;
HyoungKook Kim
;
Shannon Dunn
;
Jasper P. Munson
;
Russell A. Black
;
Preston A. Crupe
;
Victor A. Perez
;
Takuya Kuroda
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
450mm;
Lithography;
PEB Tuning;
APSM;
OMOG;
CDU Master;
39.
The pattern-matching based OPC approach for preemptively fixing the weak points
机译:
基于模式匹配的OPC方法可抢先修复薄弱点
作者:
Yaojun Du
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
OPC;
repair flow;
pattern matching;
40.
The partial coherence modulation transfer function for lithography
机译:
光刻的部分相干调制传递函数
作者:
Jiun-Woei Huang
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
critical dimension;
modulation transfer function;
partial coherence;
lithographic lens design;
41.
Development of the next-generation ArF excimer laser with ultra-narrow stable spectral bandwidth for multiple patterning immersion lithography
机译:
具有超窄稳定光谱带宽的下一代ArF准分子激光器的开发,用于多次图案化浸没式光刻
作者:
Hiroshi Furusato
;
Takahito Kumazaki
;
Takeshi Ohta
;
Hiroaki Tsushima
;
Akihiko Kurosu
;
Takashi Matsunaga
;
Hakaru Mizoguchi
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
ArF excimer laser;
narrow spectral bandwidth;
stable spectral bandwidth;
helium free;
multiple patterning immersion lithography;
42.
The thermal aberration analysis of a lithography projection lens
机译:
光刻投影透镜的热像差分析
作者:
Yanjie Mao
;
Sikun Li
;
Gang Sun
;
Jian Wang
;
Lifeng Duan
;
Yang Bu
;
Xiangzhao Wang
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Photolithography;
Lens Heating;
Thermal Aberration;
Optical Design;
43.
COMPACT MODELING FOR THE NEGATIVE TONE DEVELOPMENT PROCESSES
机译:
负音开发过程的紧凑建模
作者:
Fred Kuo
;
Jason Huang
;
Lawrence S. Melvin III
会议名称:
《Optical microlithography XXX》
|
2017年
44.
Alignment solutions on FBEOL layers using ASML scanners
机译:
使用ASML扫描仪的FBEOL层上的对齐解决方案
作者:
Pavan Samudrala
;
Gregory Hart
;
Yen-Jen Chen
;
Lokesh Subramany
;
Haiyong Gao
;
Nyan Aung
;
Woong Jae Chung
;
Blandine Minghetti
;
Rajan Mali
;
Seva Khikhlovskyi
;
Pieter Heres
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
Alignment;
Smash Sensor;
Aluminum layer process;
Wafer reject;
45.
Process of opto-mechanical design and assembly for reflective mirror subsystem of lithographic projection lens
机译:
光刻投影透镜反射镜子系统的光机械设计与组装过程
作者:
Wei-Cheng Lin
;
Shenq-Tsong Chang
;
Chien-Kai Chung
;
Yu-Chuan Lin
;
Shih-Feng Tseng
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
lithographic projection lens;
wavefront distortion;
mounting stress;
gravity effect;
opto-mechanical assembly;
46.
Study of aging behaviour on 193nm Phase Shift Masks
机译:
193nm相移掩模的老化行为研究
作者:
Felix Dufaye
;
Carlo Pogliani
;
Charles Crawford
;
Trent Hutchinson
;
Nicolas Thivolle
;
Laurent Lecarpentier
;
Frank Sundermann
;
Andrea Galbiati
会议名称:
《Optical microlithography XXX》
|
2017年
关键词:
PSM;
mask aging;
intrafield CD degradation;
iCDU;
意见反馈
回到顶部
回到首页