首页> 外文会议>IEEE International Test Confeence >Design for Test of Asynchronous NULL Convention Logic (NCL) Circuits
【24h】

Design for Test of Asynchronous NULL Convention Logic (NCL) Circuits

机译:异步空约定逻辑(NCL)电路测试的设计

获取原文

摘要

Due to the absence of a global clock and the presence of more state holding elements that synchronize the control and data paths, conventional Automatic Test Pattern Generation (ATPG) algorithms fail when applied to asynchronous circuits, leading to poor fault coverage. This paper presents a design for test (DFT) technique for a popular asynchronous design paradigm called NULL Convention Logic (NCL) aimed at making NCL designs testable using existing DFT tools with reasonable gate overhead. The proposed technique performs test points (TPs) insertion using SCOAP (Sandia Controllability and Observability Program) analysis to enhance the controllability of feedback nets and observability for fault sites that are flagged unobservable. An Automatic DFT Insertion Flow (ADIF) algorithm and a custom ATPG NCL primitive gates library are developed. The developed DFT technique has been verified on several NCL benchmark circuits.
机译:由于缺乏全局时钟和与同步控制和数据路径同步的更多状态保持元件,当应用于异步电路时,传统的自动测试模式生成(ATPG)算法失败,导致故障覆盖率差。本文为旨在使用具有合理栅极开销的现有DFT工具进行可测试的NCL设计进行旨在制作NCL设计的普通异步逻辑(NCL)的测试(DFT)技术设计。所提出的技术使用SCOAP(Sandia可控性和可观察性计划)分析执行测试点(TPS)插入,以增强反馈网的可控性和故障站点的可控性,这些故障位点被标记为不可观察到的。开发了一种自动DFT插入流(ADIF)算法和自定义ATPG NCL原语库。开发的DFT技术已经在几个NCL基准电路上进行了验证。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号