基于UVM验证方法学的MCU验证

摘要

本文介绍了使用UVM验证方法学对MCU进行验证的全过程,从制定验证计划到验证平台的实现,并阐述了实现过程中的技术要点,覆盖率统计以及最后的回归收敛.验证结果表明,MCU各种配置下功能正确,基于UVM验证方法学可以快速搭建灵活的高度可重用的验证平台,提高了验证效率,较好地满足了芯片验证需求.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号