掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
23rd Annual BACUS Symposium on Photomask Technology
23rd Annual BACUS Symposium on Photomask Technology
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
中国信息界
无线电与电视
数字通信世界
印制电路信息
通信对抗
信息产业报道
电源技术应用
半导体光子学与技术(英文版)
光子技术
北京电子
更多>>
相关外文期刊
電子材料
Solid-State Electronics
Printed Circuit Design
Progress Report
International journal of systems,control and communications
International Telecommunications Intelligence
Journal of Telecommunications Management
Advanced Packaging
Broadband Business Forecast
Wireless Week
更多>>
相关中文会议
中国密码学会2012年会
冶金系统第四届电信技术应用学术交流会
2009 中国卫星应用大会
中国通信学会2013年光缆电缆学术年会
第七届全国LED产业研讨与学术会议
中国通信学会信息通信网络技术委员会2009年年会
2002中国电视传媒与网络发展高峰会议
中国电子学会可靠性分会第十四届学术年会
中国西部地区电视技术协会第十五届(2003)年会
全国电磁兼容学术研讨会
更多>>
相关外文会议
International Conference on Computational Methods and Experimental Measurements(CMEM XII); 2005; Malta(MT)
Fiber Optic Sensors and Applications XIV
High-Power Laser Ablation VI pt.1
Ninth Color Imaging Conference: Color Science and Engineering: Systems, Technologies, Applications, Nov 6-9, 2001, Scottsdale, Arizona, USA
Visible and UV Lasers
2018 International Conference Laser Optics
Scanning microscopies 2011: advanced microscopy technologies for defense, homeland security, forensic, life, environmental, and industrial sciences
ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference
Free-Electron Laser Challenges II
2016 IEEE/OES China Ocean Acoustics
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
EUV Radiation Damage Test on EUVL Mask Absorber Materials
机译:
EUVL面罩吸收材料的EUV辐射损伤测试
作者:
Bing Lu
;
James Wasson
;
Sang-In Han
;
Pawitter Mangat
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
2.
Manufacturing of ArF Chrome-Less Hard Shifter for 65nm technology
机译:
用于65nm技术的ArF少铬硬式移位器的制造
作者:
Keun-Taek Park
;
Laurent Dieu
;
Greg P. Hughes
;
Kent Green
;
Ebo Croffie
;
Kunal Taravade
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
phase chrome off-axis;
PCO;
NA;
AIMS;
AAPSM;
LER;
DOf;
3.
Mask CD uniformity improvement by dry etching loading effect correction
机译:
通过干法刻蚀加载效果校正来提高掩模CD的均匀性
作者:
Jun Kotani
;
Toshiaki Yanagihara
;
Eiji Umeda
;
Takashi Senou
;
Yasutaka Kikuchi
;
Tsuyoshi Tanaka
;
Yoshimitsu Okuda
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
loading effect;
Cr dry etching;
CD uniformity;
correction;
4.
EMPOF: Electronic Mask Production Order Forms
机译:
EMPOF:电子口罩生产订单表
作者:
J. Gordon Hughes
;
David Muir
;
Leslie Drennan
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
SEMI P10;
mask ordering;
data processing automation;
5.
Examination of Various Endpoint Methods for Chrome Mask Etch
机译:
检验铬掩模蚀刻的各种端点方法
作者:
Corey Collard
;
Scott A. Anderson
;
Rex B. Anderson
;
Jason O. Clevenger
;
Monika Halim
;
Cynthia B. Brooks
;
Melisa J. Buie
;
Turgut Sahin
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
chrome etch;
endpoint;
PCA;
6.
Experimental investigation of hard pellicle purge processes
机译:
硬性薄膜清洁工艺的实验研究
作者:
Amr Y. Abdo
;
Gregory F. Nellis
;
Aya K. Diab
;
Eric P. Cotte
;
Aaron J. Chalekian
;
Roxann L. Engelstad
;
Edward G. Lovell
;
Chris Van Peski
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
157-nm lithography;
purging;
hard pellicles;
7.
Mask Challenges and Capability Development for the 65-nm Device Technology Node: the First Status Report
机译:
65纳米器件技术节点的掩模挑战和功能开发:第一份状态报告
作者:
Won D. Kim
;
Christopher Aquino
;
Mark D. Eickhoff
;
Phillip Lim
;
Nobuhiko Fukuhara
;
Scott Jessen
;
Yasutaka Kikuchi
;
Junichi Tanzawa
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
65nm-node;
high NA ArF lithography;
resolution enhancement technique (RET);
sub resolution assist feature (SRAF);
8.
Localized Exposure Technique for Isolated Cr Defect Repair
机译:
孤立铬缺陷修复的局部暴露技术
作者:
Hsu-Yueh Chien
;
Weidong Cai
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
photomask;
Cr repair;
9.
Investigation of an enhanced mask data preparation system using unified mask data formats
机译:
研究使用统一遮罩数据格式的增强遮罩数据准备系统
作者:
Toshio Suzuki
;
Koki Kuriyama
;
Junji Hirumi
;
Nobuyuki Yoshioka
;
Hidemichi Kawase
;
Tomoko Kamimoto
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
MDP;
data format;
data conversion;
GDSII;
NEO;
MALY;
VSB;
10.
Initial results of new photomask-blank deposition tool
机译:
新型光掩模空白沉积工具的初步结果
作者:
Michael D. Kriese
;
Jim R. Rodriguez
;
Yuriy Y. Platonov
;
James L. Wood
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
photomask blank;
ion sputtering;
multilayer;
AttPSM;
absorber;
EUV;
thin-film coatings;
11.
Effect of mask pattern fidelity on 193 nm lithography performance
机译:
掩模图案保真度对193 nm光刻性能的影响
作者:
Ching-Chi Cheng
;
Tsung-Lin Su
;
F-G Tsai
;
Tzung-Shian Tsai
;
Chin-Chiang Tu
;
Chu-San Yoo
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
PSM;
OPC;
pattern fidelity;
corner rounding;
12.
Defect Printability for High Exposure Dose Advanced Packaging Applications
机译:
缺陷印刷适性,适用于高剂量先进包装应用
作者:
Max Mikles
;
Warren W. Flack
;
Ha-Ai Nguyen
;
Dan Schurz
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
pellicles;
advanced packaging;
high-energy exposure;
thick photoresists;
defect printability;
13.
Flows for model-based layout correction of mask proximity effects
机译:
基于模型的蒙版邻近效果校正的流程
作者:
Nick Cobb
;
Wilhelm Maurer
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask making;
models;
OPC;
MPC;
14.
Update on the EUVL mask blank activity at Schott Lithotec
机译:
Schott Lithotec的EUVL面膜空白活动更新
作者:
Frank Sobel
;
Lutz Aschke
;
Hans Becker
;
Markus Renno
;
Frauke Rueggeberg
;
Steffen Kirchner
;
Thomas Leutbecher
;
Nathalie Olschewski
;
Mario Schiffler
;
Kurt Walter
;
Guenter Hess
;
Ute Buttgereit
;
Konrad Knapp
;
Rainer Lebert
;
Larissa Juschkin
;
Christian Wies
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
EUVL;
LTEM;
mask blank;
multilayer stack;
absorber stack;
EUV reflectometer;
15.
Detection and impact of mask manufacturing constraints on OPC efficacy
机译:
面膜制造限制条件的检测及其对OPC功效的影响
作者:
Pat LaCour
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
16.
Use of lower-end technology etch platforms for high etch loads
机译:
使用低端技术蚀刻平台实现高蚀刻负载
作者:
Jefferson O. Nemelka
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
EAPSM;
MoSi;
etch;
high load;
17.
Comparisons of 9 versus 6 Transmission Attenuated Phase Shift Mask for the 65nm Device Node
机译:
65nm器件节点的9%和6%透射衰减衰减相移掩模的比较
作者:
Patrick K. Montgomery
;
Kevin Lucas
;
Lloyd C. Litt
;
Will Conley
;
Eric Fanucchi
;
Johannes Van Wingerden
;
Geert Vandenberghe
;
Vincent Wiaux
;
Darren Taylor
;
Mike Cangemi
;
Bryan S. Kasprowicz
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
18.
Utilization of EWMA-type charts for critical dimension metrology tools
机译:
EWMA型图表用于关键尺寸计量工具
作者:
Joel T. Buser
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
metrology;
statistical process control;
control limits;
EWMA;
19.
Using Location of Diffraction Orders to Predict Performance of Future Scanners
机译:
使用衍射顺序的位置来预测未来扫描仪的性能
作者:
Peter Brooker
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
lithography;
aerial image;
modeling;
fraunhofer diffraction;
altPSM;
20.
Study of Dry Etching Pattern Profile of Chromeless Phase Lithography (CPL) mask
机译:
无铬相光刻(CPL)掩模的干蚀图案轮廓研究
作者:
Jimmy Lin
;
Michael Hsu
;
Tony Hsu
;
Stephen Hsu
;
Xuelong Shi
;
Doug Van Den Broeke
;
J. Fung Chen
;
F.C. Tang
;
W.A. Hsieh
;
C.Y. Huang
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
phase shifting mask;
PSM;
chromeless PSM;
CPL;
AFM;
SEM;
FIB;
21.
Current status of next generation EUVL mask blank tool development
机译:
下一代EUVL口罩空白工具的开发现状
作者:
Andy Ma
;
Kevin Kemp
;
Rajul Randive
;
Al Weaver
;
Mark Roberti
;
Alan Hayes
;
Dan Abraham
;
Paul Mirkarimi
;
Eberhard Spiller
;
Patrick Kearney
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
multilayer deposition;
ion beam deposition;
reflectivity;
22.
Study of alternating phase shift mask structure for 65nm node devices
机译:
65nm节点器件的交替相移掩模结构研究
作者:
Toshio Konishi
;
Toru Komizo
;
Hiroyuki Takahashi
;
Motohiko Morita
;
Takashi Ohshima
;
Kazuaki Chiba
;
Yosuke Kojima
;
Jun Sasaki
;
Keishi Tanaka
;
Masao Otaki
;
Yoshimitsu Okuda
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
alternating phase-shift mask;
structure;
simulation;
TEMPEST;
AIMS fab193;
lithography;
65nm technology node;
23.
SLF27 Energy Difference Method to Specify Printability of Contact Hole Defects
机译:
SLF27能量差法,指定接触孔缺陷的可印刷性
作者:
TM. Suleni
;
Tan Yer Peng
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
24.
Sol-gel fabrication of high quality photomask substrates for 157-nm lithography
机译:
用于157 nm光刻的高质量光掩模基板的溶胶凝胶制备
作者:
Rahul Ganguli
;
Steven G. Colbern
;
Mark Morris
;
D. Laurence Meixner
;
S. Ray Chaudhuri
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
photomask substrates;
fused silica;
sol-gel;
157 nm processing;
25.
ART Structures: A Wafer Targeting System that Relaxes the Mean-to-Target Reticle Specification
机译:
ART结构:晶圆瞄准系统,可放宽靶标到靶标的规格
作者:
Robert Muller
;
Mark Simmons
;
Duane Barber
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
metrology;
reticle specification;
critical dimensions;
uniformity;
targeting;
26.
Beyond k_1=0.25 lithography : 70nm L/S patterning using KrF scanners
机译:
超过k_1 = 0.25光刻:使用KrF扫描仪进行70nm L / S图案化
作者:
Takeaki Ebihara
;
Marc D. Levenson
;
Wei Liu
;
Jim He
;
Wendy Yeh
;
Sang Ahn
;
Toshihiro Oga
;
Meihua Shen
;
Hichem Msaad
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
RET;
PSM;
double exposure;
Si bi-layer;
APF;
hard mask;
27.
An investigation of Cr etch kinetics
机译:
Cr蚀刻动力学的研究
作者:
Banqiu Wu
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
plasma etch;
photomask;
etch kinetics;
28.
Second Level Imaging of Advanced Alternating Phase Shift Masks Using E-Beam Lithography
机译:
使用电子束光刻的高级交替相移掩模的二级成像
作者:
Bernd Leibold
;
Joerg Butschke
;
Lutz Bettin
;
Dirk Beyer
;
Mathias Irmscher
;
Corinna Koepernik
;
Rainer Plontke
;
Armelle Vix
;
Peter Voehringer
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
alternating phase shift mask;
second level imaging;
chemically amplified resists;
ESPACER;
conductive top coat;
E-beam;
29.
Semiconductor wafer printing simulation by digital apodization of high-resolution actinic photomask images
机译:
高分辨率光化光掩模图像的数字变迹模拟半导体晶圆印刷
作者:
James Jacob
;
Andrew Merriam
;
Kenneth Rebitz
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
actinic;
aerial image;
photomask;
photolithography;
simulation;
30.
Reticle inspection optimization for 90nm and 130nm technology nodes using a multi-beam UV wavelength inspection tool
机译:
使用多光束UV波长检测工具对90nm和130nm技术节点进行光罩检测优化
作者:
Rick Lai
;
Luke T.H. Hsu
;
C.H. Kung
;
Johnson C.C. Hung
;
W.H. Huang
;
C.S.Yoo
;
Joe Huang
;
Vincent Hsu
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
reticle inspection;
light calibration;
AttPSM;
grayscale;
STARlight;
sensitivity;
OPC;
31.
Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation
机译:
掩模版表面污染物及其与亚膜层颗粒形成的关系
作者:
Brian J. Grenon
;
Kaustuve Bhattacharyya
;
William Volk
;
Andre Poock
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
reticle;
sub-pellicle;
contamination;
haze;
ammonium sulfate;
ToF-SIMS;
raman spectroscopy;
TeraStar;
STARlight;
URSA;
32.
Resist heating effect on e-beam mask writing at 75kV and 60 A/cm~2
机译:
在75kV和60 A / cm〜2的电阻率下对电子束掩模书写的加热效果
作者:
Zdenek Benes
;
Christina Deverich
;
Chester Huang
;
Mark Lawliss
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
resist heating;
e-beam mask writing;
ZEP7000;
chemically amplified resist;
CD error;
33.
Research on the Origin of Particle Generation in ICP Plasma Reactor
机译:
ICP等离子反应器中颗粒产生的起源研究
作者:
Jeong-Yun Lee
;
Nam-Kyu Kim
;
Il-Yong Jang
;
Sung-Yong Mun
;
Sung-Woon Choi
;
Jung-Min Sohn
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
ICP;
particle;
plasma;
reactor;
defect;
alumina;
electric field;
magnetic;
TCP;
capacitive;
inductive;
capacitance discharge;
34.
Preliminary results for mask metrology using spatial heterodyne interferometry
机译:
使用空间外差干涉测量法进行掩模计量的初步结果
作者:
Philip R. Bingham
;
Kenneth W. Tobin
;
Marylyn H. Bennett
;
Pat Marmillion
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask inspection;
mask metrology;
spatial heterodyne interferometry;
35.
A Study of Defect Measurement Techniques and Corresponding Effects on the Lithographic Process for a 193nm EPSM Photomask
机译:
193nm EPSM光掩膜的缺陷测量技术及其对光刻工艺的影响
作者:
Anthony Nhiev
;
Jason Hickethier
;
Haiqing Zhou
;
Trent Hutchinson
;
William Howard
;
Mohsen Ahmadian
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
36.
Advancements in Focused Ion Beam Repair of Alternating Phase-Shift Masks
机译:
交替相移掩模的聚焦离子束修复研究进展
作者:
Joshua Lessing
;
Tod Robinson
;
Troy Morrison
;
Theresa Holtermann
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
alternating phase shift masks (APSM or altPSM);
focused ion beam (FIB);
atomic force microscopy (AFM);
stylus NanoProfilometer (SNP);
quartz bump repair;
gas assisted etch (GAE);
37.
Optimization of Chrome Dry Etch in Tetra~(TM) II using Asymmetrically Loaded Patterns
机译:
使用非对称加载模式优化Tetra〜(TM)II中的铬干蚀刻
作者:
Cynthia B. Brooks
;
Rex B. Anderson III
;
Jason O. Clevenger
;
Corey Collard
;
Monika Halim
;
Turgut Sahin
;
Alfred Mak
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
38.
193nm EAPSM Inspection Comparison: Commercial versus Alternative Absorber Material
机译:
193nm EAPSM检查比较:商业和替代吸收材料
作者:
Darren Taylor
;
Matthew Lassiter
;
Michael Cangemi
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
193nm EAPSM;
photomask inspection;
39.
Low-Stress Stencil Masks Using a Doping Method
机译:
使用掺杂方法的低应力模板掩模
作者:
Hideyuki Eguchi
;
Toshiaki Kurosu
;
Takashi Yoshii
;
Hiroshi Sugimura
;
Kojiro Itoh
;
Akira Tamura
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
electron beam projection lithography;
low energy electron-beam proximity projection lithography;
silicon-on-insulator;
next generation lithography;
stencil;
mask;
RFT;
pressure bulge method;
40.
Photomask repair performance of the SiON/Ta-Hf attenuating PSM
机译:
SiON / Ta-Hf衰减PSM的光掩模修复性能
作者:
Masaharu Nishiguchi
;
Tsuyoshi Amano
;
Shiho Sasaki
;
Yasutaka Morikawa
;
Naoya Hayashi
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
ArF;
HTPSM;
SiON, Ta-Hf;
high transmission;
repair;
FIB;
RAVE;
41.
EUV mask simulation for AIMS
机译:
用于AIMS的EUV掩模模拟
作者:
Roman Windpassinger
;
Norbert Rosenkranz
;
Thomas Scherubl
;
Peter Evanschitzky
;
Andreas Erdmann
;
Axel Zibold
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
EUVL;
AIMS EUV;
EUV-AIMS;
AIMS;
MSM;
aerial image measurement;
chief ray angle;
bandwidth;
simulation;
exposure tool emulation;
42.
Modeling thermal reflow of resist contact hole arrays
机译:
模拟抗蚀剂接触孔阵列的热回流
作者:
Jae-Won Lee
;
Zhaohua Feng
;
Roxann L. Engelstad
;
Edward G. Lovell
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
contact-hole array;
resist reflow;
thermomechanical response;
finite element analysis;
43.
Multi-Chip Reticle Approach for OPC Model Verification
机译:
用于OPC模型验证的多芯片掩模版方法
作者:
Kunal N. Taravade
;
Nadya Belova
;
Andrew M. Jost
;
Neal P. Callan
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
44.
EUVL Mask with Ru ML Capping
机译:
带有Ru ML封盖的EUVL面膜
作者:
Pei-yang Yan
;
Guojing Zhang
;
Scott Chegwidden
;
Eberhard Spiller
;
Paul Mirkarimi
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
EUVL ML mask blank;
Ru capped ML;
EUVL mask processing;
45.
Global CD Uniformity Improvement in Mask Manufacturing for Advanced Lithography
机译:
用于高级光刻的掩模制造中的全球CD均匀性改进
作者:
S.M.Chang
;
C.C.Chin
;
W.C.Wang
;
C.L.Lu
;
R.G.Hsieh
;
C.S.Tsay
;
Y.S.Yen
;
S.C.Chin
;
S.C.Lee
;
R.G.Liu
;
K.S.Chen
;
H.C.Hsieh
;
Y.C.Ku
;
C.H.Lin
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
global critical dimension uniformity;
GCDU;
E-beam writing process;
baking process;
developing process;
46.
Low-thermal expansion material for EUV applications
机译:
用于EUV应用的低热膨胀材料
作者:
Kousuke Nakajima
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
CTE property;
EUVL;
photomask substrate;
polished surface;
CLEARCERAM;
47.
Induced ESD Damage on Photomasks: A Reticle Evaluation
机译:
光掩模上的ESD损坏:光罩评估
作者:
Andrew C. Rudack
;
Michael D. Pendley
;
Patrick Gagnon
;
Lawrence B. Levit
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
electrostatic discharge;
ESD;
ESD-induced damage;
field induced damage model;
48.
Influence of Anti-Reflection Coatings in ArF Lithography
机译:
ArF光刻中抗反射涂层的影响
作者:
Bruno La Fontaine
;
Adam R. Pawloski
;
Alden Acheta
;
Yunfei
;
Deng
;
Harry J. Levinson
;
Christopher Spence
;
Christian Chovino
;
Laurent Dieu
;
Eric Johnstone
;
Franklyn Kalk
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
49.
Improvements in Binary Chrome CD Performance Utilizing an Optimized 4th-Generation Reactor Platform
机译:
利用优化的第四代反应堆平台提高二进制Chrome CD的性能
作者:
J. Plumhoff
;
C. Constantine
;
J. Shin
;
B. Reelfs
;
E. Rausa
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
50.
Interferometric-Probe Monitors for Self-Diagnostics of Phase-Shifting Mask Performance
机译:
用于相移掩模性能自诊断的干涉探针监测仪
作者:
Gregory Mclntyre
;
Andrew Neureuther
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
phase-shifting mask;
interferometric-probe monitor;
phase and transmission error;
mask fabrication;
optical lithography;
51.
High accuracy simulation based optical proximity correction
机译:
基于高精度仿真的光学邻近校正
作者:
Martin Keck
;
Thomas Henkel
;
Ralf Ziebold
;
Christian Crell
;
Joerg Thiele
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
OPC;
DUV;
contact holes;
line shortening;
corner rounding;
photomask;
aberrations;
52.
Hotspot Detection on Post-OPC Layout Using Full Chip Simulation Based Verification Tool: A Case Study with Aerial Image Simulation
机译:
基于全芯片仿真的验证工具在OPC后布局上进行热点检测:以航空影像仿真为例
作者:
Juhwan Kim
;
Minghui Fan
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
lithography;
optical proximity correction;
post-OPC verification;
hotspots;
53.
Fourier optic imaging equations for the immersion case
机译:
沉浸情况的傅立叶光学成像方程
作者:
Peter Brooker
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
lithography;
fourier optics;
immersion;
54.
DUV Inspection Capability for 90nm node Mask in ArF Lithography
机译:
ArF光刻中90nm节点掩模的DUV检查功能
作者:
K. Ohira
;
B.G.Kim
;
K.Tanaka
;
N.Yoshioka
;
M.Tateno
;
N.Takayama
;
S.Murakami
;
K.Hatta
;
S.Akima
;
RMatsuo
;
M.Otaki
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
defect inspection;
DUV inspection;
OPC;
PSM;
defect printability;
90nm node;
Arf;
55.
Development of attenuating PSM shifter for F_2 and high transmission ArF lithography
机译:
用于F_2和高透射ArF光刻的衰减PSM移位器的研制。
作者:
Osamu NOZAWA
;
Yuki SHIOTA
;
Hideaki MITSUI
;
Toshiyuki SUZUKI
;
Yasushi OHKUBO
;
Masao USHIDA
;
Satoshi YUSA
;
Toshiharu NISHIMURA
;
Kenji NOGUCHI
;
Shiho SASAKI
;
Hiroshi MOHRI
;
Naoya HAYASHI
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
F2 lithography;
ArF lithography;
high transmittance;
bi-layer, TCL;
56.
Continuous tone gray-scale photomasks based on photosensitive spin-on-glass technology for deep UV lithography applications
机译:
基于光敏玻璃旋涂技术的连续色调灰度级光掩模,适用于深紫外光刻应用
作者:
E. A. Mendoza
;
F. A. Sigoli
;
H. Paulus
;
L. Q. Giang
;
M. Seifouri
;
E. Lam
;
L. Kempen
;
Favardin Johansooz
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
gray-scale;
photo-mask;
deep UV;
spin-on-glass;
photolithography;
57.
Characteristics of an autofocus system on a grating with period smaller than the focus beam wavelength
机译:
周期小于聚焦光束波长的光栅上自动聚焦系统的特性
作者:
Riki Ogawa
;
Shinji Sugihara
;
Yoshinori Honguh
;
Hiroyuki Nagahama
;
Toshiyuki Watanabe
;
Hideo Tsuchiya
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
TIL autofocus system;
visible light source;
obliquely incident light;
sub-wavelength grating;
RCWA simulation;
polarization change;
58.
Taking advantage of vendor automation with SEMI P10
机译:
通过SEMI P10充分利用供应商自动化
作者:
Michael Behnam
;
Jim McCracken
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
SEMI P10;
photomask order;
reticle;
file format;
RetBuilder~(TM);
tapeout;
data prep;
59.
Techniques for Maximizing Yield in Nanometer Designs
机译:
纳米设计中使产量最大化的技术
作者:
John Ferguson
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
DRC clean;
design for manufacture;
"fix or fab;
" layer analysis;
feedback loop;
yield improvement;
60.
CD Uniformity Dependence on CAR PEB Process and Its Improvement for EUVL Mask Fabrication
机译:
CD均匀性依赖于CAR PEB工艺及其对EUVL掩模制造的改进
作者:
Bing Lu
;
James Wasson
;
Eric Weisbrod
;
Pawitter Mangat
;
Eric Ainley
;
Adolpho Rios
;
Kevin Nordquist
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
61.
Rigorous Simulation of defective EUV Multilayer Masks
机译:
有缺陷的EUV多层掩模的严格模拟
作者:
Christoph Sambale
;
Thomas Schmoeller
;
Andreas Erdmann
;
Peter Evanschitzky
;
Christian K. Kalus
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
lithography modeling;
EUV masks;
defects;
62.
Application of Rigorous Electromagnetic Simulation to SLM-based Maskless Lithography for 65nm Node
机译:
严格的电磁仿真技术在基于SLM的65nm节点无掩模光刻中的应用
作者:
Ebo Croffie
;
Nick Eib
;
Neal Callan
;
Nabila BabaAli
;
Azat Latypov
;
Jason Hintersteiner
;
Tor Sandstrom
;
Arno Bleeker
;
Kevin Cummings
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
maskless lithography;
tilt mirror;
rigorous simu-lation;
light scattering;
65 nm lithography;
SLM;
TEMPEST;
63.
Bias Optimization Through Simulation for Contact Array Pattern
机译:
通过仿真对接触阵列图形进行偏置优化
作者:
Thomas V. Pistor
;
Ksenia Berger
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
simulation;
bias optimization;
contact array;
process window;
scripting;
batching;
64.
Plasma and flow modeling of photomask etch chambers
机译:
光掩模刻蚀室的等离子体和流场建模
作者:
Edward P. Hammond
;
Jason O. Clevenger
;
Melisa J. Buie
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
plasma;
simulation;
photomask etch;
focus ring;
65.
A new Concept of Image Imbalance Correction for Phase Shift Mask Lithography at 65nm
机译:
用于65nm相移掩模光刻的图像不平衡校正的新概念
作者:
Vishnu G. Kamat
;
Armen Kroyan
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
alternating aperture phase shift masks;
65nm technology node;
image imbalance;
EMF 3D mask simulation;
66.
A novel electron-beam based photomask repair tool
机译:
一种基于电子束的新型光掩模修复工具
作者:
Klaus Edinger
;
Hans Becht
;
Rainer Becker
;
Volker Bert
;
Volker Boegli
;
Michael Budach
;
Susanne Goehde
;
Jochen Guyot
;
Thorsten Hofmann
;
Ottmar Hoinkis
;
Alexander Kaya
;
Hans W.P. Koops
;
Petra Spies
;
Bernd Weyrauch
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
67.
Optimization of Dummy Pattern for Mask Data Size Reduction
机译:
优化虚拟图案以减少掩模数据大小
作者:
Walter Iandolo
;
Yitzik Gilboa
;
Bill Phan
;
Artur Balasinski
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
mask database;
waffling;
simulations;
pattern density;
planarity;
68.
Optimization of data handling prior to fracturing for reduction of mask writing time
机译:
优化压裂之前的数据处理以减少掩模写入时间
作者:
James Word
;
Steffen Schulze
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
69.
Phase Degradation Characteristics of Programmed AAPSM Defects with Automatic Inspection Tool Sensitivity
机译:
具有自动检查工具灵敏度的程序化AAPSM缺陷的相变特性
作者:
Darren Taylor
;
Eric Poortinga
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
AAPSM;
SEM;
surface profilometry;
70.
200-mm EPL stencil mask fabrication and metrology
机译:
200毫米EPL模版掩模的制造和计量
作者:
Hiroshi Fujita
;
Tadahiko Takigawa
;
Mikio Ishikawa
;
Yu-ki Aritsuka
;
Satoshi Yusa
;
Morihisa Hoga
;
Hisatake Sano
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
stencil mask;
electron beam projection lithography;
bosch process;
silicon-on-insulator;
transmission CD-SEM;
next-generation lithography;
71.
Phase Enhanced DUV Inspection of Alternating Phase Shift Reticles
机译:
交替相移掩模版的相增强DUV检查
作者:
Larry Zurbrick
;
Maciej Rudzinski
;
Long He
;
Kurt Kimmel
;
Alvina Williams
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
关键词:
alternating phase shift masks;
altPSM;
AAPSM;
defect inspection;
72.
157-nm Alternating Phase Shifting Mask Design and High NA Images
机译:
157 nm交替相移掩模设计和高NA图像
作者:
Yung-Tin Chen
;
Jeff Meute
;
Kim Dean
;
Peter Brooker
会议名称:
《23rd Annual BACUS Symposium on Photomask Technology》
|
2003年
意见反馈
回到顶部
回到首页