掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)
International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
通信技术
电子机械工程
信息通信技术
现代电信科技
现代信息技术
光通信研究
北京电子
信息技术
信息空间
电源技术应用
更多>>
相关外文期刊
IETE Journal of Education
Antennas and Propagation Magazine, IEEE
Intermedia
Journal of signal processing systems for signal, image, and video technology
Elektor electronics worldwide
Public Network Europe
Engineering Science and Education Journal
POF newsletter
On the New World of Communication
Deutsche Telekom Unterrichtsblaetter
更多>>
相关中文会议
第九届全国微波磁学会议
2010年江苏省仪器仪表学会学术年会
第七届全国固体薄膜学术会议
中国电子学会电路与系统分会第二十六届年会
中国电子学会真空电子学分会第十七届学术年会暨军用微波管研讨会
2000军事电子信息学术会议
2000年全国微波测量会议
中国电子学会'98军事电子信息学术会议
2014年安全播出与监测监管技术交流会
2000'IGBT模块应用技术研讨会
更多>>
相关外文会议
European Microwave Conference vol.1; 20041011-14; Amsterdam(NL)
2019 Scientific Meeting on Electrical-Electronics & Biomedical Engineering and Computer Science
Advances in data networks, communications, computers and materials
18th Italian National Conference on Photonics Technologies
Color Imaging XI: Processing, Hardcopy, and Applications; Electronic Imaging Science and Technology
2019 IEEE International Conference on Computational Photography
Laser Techniques for State-Selected and State-to-State Chemistry II
Organic Light-Emitting Materials and Devices IV 31 July-2 August 2000 San Diego, USA
Metrology, Inspection, and Process Control for Microlithography XXI pt.2; Proceedings of SPIE-The International Society for Optical Engineering; vol.6518 pt.2
Fifth International Workshop on Information Retrieval With Asian Languages, Sep 30-Oct 2, 2000, Hong Kong
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Cu surface characterization after wet cleaning processes
机译:
湿法清洗后的铜表面特性
作者:
Pipia F.
;
Votta A.
;
Elbaz A.C.
;
Grasso S.
;
Ravizza E.
;
Spadoni S.
;
Alessandri M.
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
Cu surface;
cleaning;
copper oxide;
2.
Silicon surface preparation and passivation by vapor phase of heavy water
机译:
重水蒸气相的硅表面制备和钝化
作者:
Andrea E. Pap
;
Zsolt Nenyei
;
Gabor Battistig
;
Istvan Barsony
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
deuterium passivation;
heavy water;
wet chemical cleaning;
gas phase;
si native oxid;
3.
Defects of silicon substrates caused by electro-static discharge in single wafer cleaning process
机译:
单晶片清洗过程中由静电放电导致的硅基板缺陷
作者:
Yoshiya Hagimoto
;
Hayato Iwamoto
;
Yasushi Honbe
;
Takuro.Fukunaga
;
Hitoshi Abe
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
defects;
silicon substrates;
electro-static discharge;
electro-static charge;
single wafer cleaning system;
transmission electron microscope;
4.
Three-step Room Temperature Wet Cleaning Process for Silicon Substrate
机译:
硅基板三步室温湿法清洗工艺
作者:
Rui Hasebe
;
Akinobu Teramoto
;
Tomoyuki Suwa
;
Rihito Kuroda
;
Shigetoshi Sugawa
;
Tadahiro Ohmi
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
cleaning;
room temperature;
TDS-APIMS;
alkali free;
5.
Preparation and characterization of self-assembled monolayers on germanium surfaces
机译:
锗表面自组装单分子膜的制备与表征
作者:
Martin Lommel
;
Philipp Hoenicke
;
Michael Kolbe
;
Matthias Mueller
;
Falk Reinhardt
;
Pit Moebus
;
Eric Mankel
;
Burkhard Beckhoff
;
Bernd O. Kolbesen
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
self-assembled monolayers;
germanium;
surface;
passivation;
HF;
AFM;
XPS;
TXRF;
NEXAFS;
GIXRF;
thiols;
h-termination;
oxide removal;
6.
Complementary metrology within a European joint laboratory
机译:
欧洲联合实验室内的互补计量
作者:
A. Nutsch
;
B. Beckhoff
;
R. Altmann
;
J. A. Van Den Berg
;
D. Giubertoni
;
P. Hoenicke
;
M. Bersani
;
A. Leibold
;
F. Meirer
;
M. Mueller
;
G. Pepponi
;
M. Otto
;
P. Petrik
;
M. Reading
;
L. Pfitzner
;
H. Ryssel
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
ultra trace analysis;
ultra shallow junctions;
thin layers;
research infrastructures;
7.
Direct Observation of Single Bubble Cavitation Damage for MHz Cleaning
机译:
直接观察单个气泡汽蚀损坏以进行MHz清洁
作者:
Hiroshi Tomita
;
Minako Inukai
;
Kaori Umezawa
;
Linan Ji
会议名称:
《》
|
2008年
关键词:
cavitation;
damage;
light point defect;
physical force;
8.
Molybdenum Contamination in Silicon: Detection and Impact on Device Performances
机译:
硅中的钼污染:检测及其对器件性能的影响
作者:
D. Codegoni
;
M.L. Polignano
;
D. Caputo
;
A. Riva
;
E. Blot
;
D. Coulon
;
P. Maillot
;
N. Pic
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
molybdenum contamination;
diffusion length;
SPV;
DLTS;
Elymat;
9.
Impact of metal-ion contaminated silica particles on gate oxide integrity
机译:
金属离子污染的二氧化硅颗粒对栅极氧化物完整性的影响
作者:
Ingrid Rink
;
Faisal Wali
;
D. Martin Knotter
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
metals;
particles;
GOI;
10.
Monitoring System for Airborne Molecular Contamination (AMC) in Semiconductor Manufacturing Areas and Micro-Environments
机译:
半导体制造领域和微环境中的空气传播分子污染(AMC)监控系统
作者:
M. Otto
;
A. Leibold
;
L. Wulf
;
M. Hurlebaus
;
L.Pfitzner
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
airborne molecular contamination;
in-situ monitoring;
ion mobility spectroscopy;
11.
Reduction of Airborne Molecular Contamination on 300 mm Front Opening Unified POD (FOUP) and Wafers Surface by Vacuum Technology
机译:
通过真空技术减少300 mm前开口统一POD(FOUP)和晶圆表面上的空气传播分子污染
作者:
Philippe MAQUIN
;
Hisanori KAMBARA
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
airborn molecular contamination (AMC);
ITRS standard;
queue time;
oxidation;
cross contamination;
haze problem;
crystalline growth;
wafer decontamination;
defectivity;
yield improvement;
vacuum purge;
12.
Single wafer ozone-based processing for effective edge fluoropolymer cleaning
机译:
单晶片基于臭氧的处理,可有效清洁边缘含氟聚合物
作者:
John Niccoli
;
Matt Cogrono
;
Michelle Eastlack
;
Dave McCain
;
Craig Carlson
;
Erik Young
;
Dave Chapek
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
single wafer cleaning;
ozone;
edge defects;
fluoropoiymer;
yield enhancement;
13.
Cleanliness management in advanced microelectronic
机译:
先进微电子的清洁度管理
作者:
Y. Borde
;
A. Danel
;
A. Roche
;
H. Fontaine
;
C. Brych
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
contamination;
metals;
particles;
acids;
14.
Particle - Wafer Interactions in Semiaqueous Silicon Cleaning Systems
机译:
硅水清洗系统中的颗粒-晶圆相互作用
作者:
Lukasz Hupka
;
Jakub Nalaskowski
;
Nishant Sinha
;
Joseph N. Greeley
;
Zak Clark
;
Hao Du
;
William P. Johnson
;
Jan D. Miller
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
AFM;
interaction forces;
silicon cleaning;
semiaqueous cleaning;
post-CMP cleaning;
hydrophobic forces;
lateral force;
colloidal probe;
molecular dynamics simulations;
15.
The influence of standing waves on cleaning with a megasonic nozzle
机译:
驻波对超音速喷嘴清洁的影响
作者:
T. Janssens
;
G. Doumen
;
S. Halder
;
K. Wostyn
;
P.W. Mertens
;
J. Straka
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
physical cleaning;
cavitation;
16.
Removal of Nano-particles by Aerosol Spray: Effect of Droplet Size and Velocity on Cleaning Performance
机译:
气溶胶喷雾去除纳米颗粒:液滴尺寸和流速对清洁性能的影响
作者:
K. Xu
;
S. Pichler
;
K. Wostyn
;
G. Cado
;
C. Springer
;
G. Gale
;
M. Dalmer
;
P. W. Mertens
;
T. Bearda
;
E. Gaulhofer
;
D. Podlesnik
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
particle removal;
damage;
aerosol spraying;
droplet size;
droplet velocity;
17.
Cleaning Technique Using High-Speed Steam-Water Mixed Spray
机译:
高速蒸汽-水混合喷雾的清洁技术
作者:
Masao Watanabe
;
Toshiyuki Sanada
;
Atsushi Hayashida
;
Yoichi Isago
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
steam-water mixture;
spray;
cleaning;
super-purified water;
droplet;
particle;
18.
Pattern Collapse and Particle Removal Forces of Interest to Semiconductor Fabrication Process
机译:
半导体制造工艺中感兴趣的图案塌陷和颗粒去除力
作者:
Tae-Gon Kim
;
Kurt Wostyn
;
Jin-Goo Park
;
Paul W. Mertens
;
Ahmed A. Busnaina
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
pattern collapse;
particle removal;
laser shock wave cleaning;
19.
Analyzing the collapse force of narrow lines measured by lateral force AFM using an analytical mechanical model
机译:
使用解析力学模型分析由横向力AFM测量的细线的塌陷力
作者:
Kurt Wostyn
;
Tae-Gon Kim
;
Paul W. Mertens
;
Jin-Goo Park
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
lateral force;
normal stress;
shear stress;
elongation;
damage mechanism;
20.
Reduced Particle Removal Efficiency Upon Wafer Storage
机译:
晶圆储存时降低的颗粒去除效率
作者:
D. Martin Knotter
;
Romuald Roucou
;
Remi Peyrin
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
particle removal;
aging;
humidity;
21.
Local distribution of particles deposited on patterned surfaces
机译:
沉积在图案化表面上的颗粒的局部分布
作者:
Faisal Wali
;
D. Martin Knotter
;
Twan Bearda
;
Paul W. Mertens
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
deposition mechanism;
lateral capillary forces;
silica particles;
22.
Characterization of a cavitation bubble structure at 230 kHz: bubble population, sonoluminescence, and cleaning potential
机译:
230 kHz时空化气泡结构的特征:气泡数量,声致发光和清洁潜力
作者:
Andrea Otto
;
Till Nowak
;
Robert Mettin
;
Frank Holsteyns
;
Alexander Lippert
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
ultrasound;
cavitation;
bubbles;
sonoluminescence;
cleaning;
23.
Porous Low-k Wet Etch in HF-based Solutions : Focus on Cleaning Process Window, 'Pore-sealing' and 'k recovery'
机译:
基于HF的解决方案中的多孔低k湿法蚀刻:关注清洗工艺窗口,“孔密封”和“ k恢复”
作者:
L. Broussous
;
W. Puyrenier
;
D. Rebiscoul
;
V. Rouessac
;
A. Ayral
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
wet clean;
BEOL;
porous low-k;
diluted hydrofluoric acid;
pore sealing;
plasma damage;
24.
Trace metallic contamination analysis on wafer edge and bevel by TXRF and VPD-TXRf
机译:
借助TXRF和VPD-TXRf对晶片边缘和斜面进行痕量金属污染分析
作者:
Hikari Takahara
;
Ken Tsugane
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
metallic contamination;
edge;
bevel;
TXRF;
VPD-TXRf;
25.
Surface Potential Difference Imaging Applied to Wet Clean Monitoring
机译:
表面电位差成像技术应用于湿法清洁监测
作者:
A. Panel
;
S. Sage
;
M.C. Roure
;
D. Peters
;
J. Hawthorne
;
R. Spicer
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
SPDI;
metallic contamination;
silicon wafer;
Kelvin probe;
26.
Impacts of ionization potentials and megasonic dispersion
机译:
电离势和兆声波频散的影响
作者:
Cole Franklin
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
megasonic;
ionization potential;
cleaning;
sound dispersion;
27.
Influence of wet cleaning on tungsten deposited with different techniques
机译:
湿法清洗对不同工艺沉积钨的影响
作者:
Votta A.
;
Pipia F.
;
Borsari S.
;
Ravizza E.
;
Elbaz A.C.
;
Alessandri M.
;
Bellandi E.
;
Bresolin C.
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
tungsten;
tungsten oxide;
wet cleanings;
28.
Poly- silicon etch with diluted ammonia: Application to replacement gate integration scheme
机译:
用稀氨水进行多晶硅蚀刻:在替代栅极集成方案中的应用
作者:
Farid Sebai
;
Jose Ignacio Del Agua Borniquel
;
Rita Vos
;
Philippe Absil
;
Thomas Chiarella
;
Christa Vrancken
;
Pieter Boelen
;
Evans Baiya
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
gate replacement;
poly silicon etch with diluted ammonia;
over etch;
high-k surface degradation;
leakage current;
29.
Advances on 45nm SiGe-Compatible NiPt Salicide Process
机译:
45nm SiGe兼容的NiPt硅化物工艺的研究进展
作者:
Yi-Wei Chen
;
Nien-Ting Ho
;
Jerander Lai
;
T.C. Tsai
;
C.C. Huang
;
S. F. Tzou
;
James. M. M. Chu
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
NiPt salicide;
SPM;
silicide;
wet stripping;
selective etching;
HPM;
SiGe;
30.
Impact of Galvanic Corrosion on Metal Gate Stacks
机译:
电腐蚀对金属栅叠的影响
作者:
M. Wada
;
S. Garaud
;
I. Ferain
;
N. Collaert
;
K. Sano
;
J. Snow
;
R. Vos
;
L. H. A. Leunissens
;
P.W. Mertens
;
A. Eitoku
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
galvanic corrosion;
metal gate;
HF;
TiN;
Mo;
31.
Surface texturization and interface passivation of mono-crystalline silicon substrates by wet chemical treatments
机译:
湿法化学处理单晶硅衬底的表面纹理化和界面钝化
作者:
W. Sievert
;
K.-U. Zimmermann
;
B. Hartmann
;
C. Klimm
;
K. Jacob
;
H. Angermann
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
Si surface texturization;
light trapping;
wet-chemical etching;
h-termination;
surface photo voltage SPV;
scanning electron microscopy SEM;
UV-NIR-reflectance;
32.
Mechanism of plasma-less gaseous etching process for damaged oxides from the ion implantation process
机译:
无等离子体气体蚀刻工艺对离子注入工艺中受损氧化物的作用机理
作者:
Suguru Saito
;
Yoshiya Hagimoto
;
Hayato Iwamoto
;
Yusuke Muraki
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
plasma-less gaseous etching;
chemical oxide removal;
COR;
ion implantation;
plasma damage;
(NH_4)_2SiF_6;
33.
Current Advances in Anhydrous HF/Organic Solvent Processing of Semiconductor Surfaces
机译:
半导体表面无水HF /有机溶剂处理的最新进展
作者:
P. Roman
;
K. Torek
;
K. Shanmugasundaram
;
P. Mumbauer
;
D. Vestyck
;
P. Hammond
;
J. Ruzyllo
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
anhydrous HF;
native oxide;
oxide etching;
3D geometries;
amorphous silicon;
34.
A Novel Vapor Phase Etching Process for Si
机译:
硅的新型气相蚀刻工艺
作者:
Steven Verhaverbeke
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
vapor phase etching;
Si etching;
ozone;
solvent;
Hf;
35.
Evaluation of plasma strip induced substrate damage
机译:
等离子体剥离引起的基底损伤的评估
作者:
Keping Han
;
S. Luo
;
O. Escorcia
;
Carlo Waldfried
;
Ivan Berry
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
post-implant resist strip;
ultra shallow junction;
surface oxidation;
silicon loss;
dopant loss;
36.
Post extension ion implant photo resist strip for 32 nm technology and beyond
机译:
延伸后离子注入光致抗蚀剂条,适用于32 nm及更高技术
作者:
G. Mannaert
;
L. Witters
;
D. Shamiryan
;
W. Boullart
;
K. Han
;
S. Luo
;
A. Falepin
;
R. Sonnemans
;
I. Berry
;
C. Waldfried
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
fin;
photo resist strip;
dopant loss;
37.
Influence of dry ashing and wet treatments on NVM metal gate structures
机译:
干灰化和湿处理对NVM金属栅结构的影响
作者:
Alice C. Elbaz
;
Enrico Bellandi
;
Cinzia De Marco
;
Luigi M. Avaro
;
Enrica Ravizza
;
Roberta Piva
;
Mauro Alessandri
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
metal gate;
resist removal;
38.
Applications of electrostatic spray techniques to surface cleaning
机译:
静电喷涂技术在表面清洁中的应用
作者:
Ken Finster
;
Robert Small
;
Andrea Belz
;
John Mahoney
;
Julius Perel
;
Jian Gong
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
electrospray;
electrohydrodynamics;
droplets;
droplet-surface interactions;
39.
Effect of wet treatment on stability of spin-on dielectrics for STI gap-filling in nanoscale memory
机译:
湿处理对用于纳米级STI间隙填充的自旋电介质稳定性的影响
作者:
Gyuhyun Kim
;
Soonyoung Park
;
Seungseok Pyo
;
Jihye Han
;
Jungnam Kim
;
Keejoon Oh
;
Choonkun Ryu
;
Yongsoo Choi
;
Nohjung Kwak
;
Sungki Park
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
wet oxidants;
etch rate;
SOD oxide;
40.
Damage-Free Post-CMP Cleaning Solution for Low-k Fluorocarbon on Advanced Interconnects
机译:
用于高级互连上的低k氟碳化合物的无损伤CMP后清洁解决方案
作者:
Xun Gu
;
Takenao Nemoto
;
Akinobu Teramoto
;
Rui Hasebe
;
Takashi Ito
;
Tadahiro Ohmi
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
fluorocarbon;
damage-free;
post CMP cleaning solution;
dielectric constant;
XPS;
41.
Process Dependence on Defectivity Count on Copper and Dielectric Surfaces in Post-Copper CMP Cleaning
机译:
后铜CMP清洗过程中取决于铜和电介质表面缺陷计数的工艺
作者:
Jerome Daviot
;
Jan Vaes
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
Cu-PCMP;
cleaning;
particle removal;
defectivity on copper ULK;
42.
A novel copper interconnection cleaning by atomic hydrogen using diluted hydrogen gas
机译:
一种使用稀释氢气的原子氢清洗铜互连的新方法
作者:
Kazuki Abe
;
Akira lzumi
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
copper;
copper oxide;
reduction;
atomic hydrogen;
diluted hydrogen;
hot wire;
43.
Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist
机译:
蒸汽注入SPM工艺用于湿法剥离注入的光刻胶
作者:
David DeKraker
;
Blake Pasker
;
Jeffery W. Butterbaugh
;
Kurt K. Christenson
;
Thomas J. Wagener
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
SPM;
steam;
catalyst;
implanted;
photoresist;
ashless;
all-wet;
44.
Novel methods for wet stripping high dose implanted photoresist using sulfur trioxide
机译:
三氧化硫湿法剥离大剂量注入光刻胶的新方法
作者:
Eric J. Bergman
;
J. Dusty Leonhard
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
high-dose;
implant;
strip;
wet-strip;
sulfur trioxide;
45.
Ozone chemistry for BEOL resist stripping - A systematic analytical attempt to understand the interaction of O_3 with modern DUV-resists
机译:
用于BEOL抗蚀剂剥离的臭氧化学-系统分析尝试,以了解O_3与现代DUV抗蚀剂之间的相互作用
作者:
Mathias Guder
;
Maren Pellowska
;
Maximilian Pohland
;
Michael Dalmer
;
Bernd Kolbesen
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
ozone;
radicals;
decomposition;
radical trapping;
resist characterization;
46.
Design and Development of Novel Remover for Cu / porous Low-k Interconnects
机译:
新型铜/多孔低k互连件去除剂的设计与开发
作者:
Tomoko Suzuki
;
Atsushi Otake
;
Tomoko Aoki
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
Cu cleaning;
ELK compatibility;
XPS;
Cu surface control;
47.
Surface energy and wetting behaviour of plasma etched porous SiCOH surfaces and plasma etch residue cleaning solutions
机译:
等离子蚀刻的多孔SiCOH表面和等离子蚀刻残留物清洁溶液的表面能和润湿行为
作者:
Nicole Ahner
;
Matthias Schaller
;
Christin Bartsch
;
Eugene Baryschpolec
;
Stefan E. Schulz
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
porous low-k;
plasma etch residues;
wet cleaning;
surface energy;
wetting;
48.
Recyclable fluorine-based cleaning solvents for resist removal
机译:
可回收的氟基清洁剂,用于去除抗蚀剂
作者:
Hidekazu Okamoto
;
Hideo Namatsu
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
fluorine;
solvent;
cleaning;
drying;
recycle;
resist;
hydrofluoroether;
hydrofluorocarbon;
49.
The interaction of sublimed iminodiacetic acid with a Cu{110} surface
机译:
升华的亚氨基二乙酸与Cu {110}表面的相互作用
作者:
D.Tee
;
S.M. Francis
;
N.V. Richardson
;
C. Reid
;
L. McGhee
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
iminodiacetic acid;
copper surface;
scanning tunneling microscopy;
FTIR;
surface cleaning;
passivation;
50.
Advances in Test Wafer Reclaim Technology - Wet Stripping Porous Low-k Films with No Substrate Damage
机译:
测试晶圆回收技术的最新进展-湿剥离多孔低k膜而无基材损坏
作者:
Mark Robson
;
Kristin A. Fletcher
;
Ping Jiang
;
Michael B. Korzenski
;
A. Upham
;
T. Haigh Jr
;
Thomas J.C. Hsieh
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
reclaim;
ultra low-k;
etch rate;
selectivity;
defects;
51.
Electrochemical behavior of cobalt in post-via etch cleaning solutions
机译:
通孔后蚀刻清洗液中钴的电化学行为
作者:
S. Bilouk
;
C. Pernel
;
L. Broussous
;
V. lvanova
;
R. P.Nogueira
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
self aligned barrier;
cobalt;
corrosion;
post-via etch clean;
52.
Impact of Dry Etch and Ash Conditions on Removability of Plasma Etch Residues in Al-Metallization. Approach to improve PER Cleaning Efficiency by EHS-friendly Aqueous Remover
机译:
干法刻蚀和灰分条件对铝金属化过程中等离子刻蚀残渣可去除性的影响。通过EHS友好型除水剂提高PER清洁效率的方法
作者:
Berthold Ferstl
;
Andreas Klipp
;
Manfred Essig
;
Maria Heidenblut
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
EHS-friendly aqueous PER remover;
plasma etch residues;
Al-technology;
XPS;
53.
Effect of Various Cleaning Solutions and Brush Scrubber Kinematics on the Frictional Attributes of Post Copper CMP Cleaning Process
机译:
各种清洗液和刷子洗涤器的运动学对后铜CMP清洗工艺摩擦特性的影响
作者:
Yasa Sampurno
;
Yun Zhuang
;
Xun Gu
;
Sian Theng
;
Takenao Nemoto
;
Ting Sun
;
Fransisca Sudargho
;
Akinobu Teramoto
;
Ara Philipossian
;
Tadahiro Ohmi
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
frictional force;
shear force;
down force;
citric acid;
post copper CMP;
cleaning process;
PVA;
brush;
rotational rate;
cleaning solutions;
surfactant;
slurry;
54.
Chemical and Mechanical Analysis of HDIS Residues using Auger Electron Spectroscopy and Nanoindentation
机译:
利用俄歇电子能谱和纳米压痕技术对HDIS残留物进行化学和力学分析
作者:
Andreas V. Kadavanich
;
Sang Hoon Shim
;
Harry M. Meyer lll
;
Stephen E. Savas
;
Edgar Lara-Curzio
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
HDIS;
high-dose lmplant strip;
residue;
photoresist stripping;
AES;
nanoindentation;
55.
Shortening of Plasma Strip Process resulting in better Removal of Photo Resist after High Dose Implantation
机译:
缩短等离子剥离工艺,可更好地去除大剂量植入后的光致抗蚀剂
作者:
A. Zakharov
;
M. Lenski
;
S. Metzger
;
C. Krueger
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
implanted photo resist stripping;
crust layer;
plasma processing;
wet stripping;
56.
Stripping and Cleaning of High-Dose Ion-Implanted Photoresists Using a Single-Wafer, Single-Chamber Dry/Wet Hybrid System
机译:
使用单晶片,单腔干/湿混合系统剥离和清洁大剂量离子注入光刻胶
作者:
Y.J. Kim
;
J.H. Lee
;
K.J. Seo
;
C.R. Yoon
;
E.S. Roh
;
J.K. Cho
;
T. Hattori
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
photoresist removal;
atmospheric pressure plasma;
SPM;
dry/wet hybrid processing;
57.
Post Ion-Implant Photoresist Stripping Using Steam and Water: Pre-treatment in a steam atmosphere and steam-water mixed spray
机译:
使用蒸汽和水进行离子植入后光刻胶剥离:在蒸汽气氛和蒸汽-水混合喷雾中进行预处理
作者:
Toshiyuki Sanada
;
Masao Watanabe
;
Atsushi Hayashida
;
Yoichi Isago
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
resist stripping;
crust;
steam and water mixed spray;
steam atmosphere;
58.
All Wet Photoresist Strip by Solvent Aerosol Spray
机译:
溶剂气溶胶喷涂的全湿式光刻胶胶条
作者:
M. Wada
;
K. Sano
;
J. Snow
;
R. Vos
;
L. H. A. Leunissen
;
P.W. Mertens
;
A. Eitoku
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
solvent;
photoresist removal;
aerosol spray;
NMP;
DMSO;
59.
Photoresist Removal Using Alternative Chemistries and Pressures
机译:
使用其他化学物质和压力去除光致抗蚀剂
作者:
Ingu Song
;
Christopher Timmons
;
Galit Levitin
;
Dennis W. Hess
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
cleaning;
photoresist removal;
gas expanded liquids;
radical anions;
60.
Modification of photoresist by UV for post-etch wet strip applications
机译:
通过紫外线对光致抗蚀剂进行改性,以用于蚀刻后湿法剥离应用
作者:
Q. T. Le
;
E. Kesters
;
L. Prager
;
M. Claes
;
M. Lux
;
G. Vereecke
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
UV treatment;
photoresist;
wet strip;
BEOL;
61.
Cu Dendrite Formation in Post Trench Etch Cleaning
机译:
沟槽腐蚀后铜枝晶的形成
作者:
Jung Shik Heo
;
Jun Hwan Oh
;
Hong Jae Shin
;
Nae In Lee
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
post trench etch cleaning;
Cu dendrite;
diluted HF;
diffusion-limited aggregation;
62.
Characterization of post etch residues depending on resist removal processes after aluminum etch
机译:
蚀刻后残留物的特性取决于铝蚀刻后的抗蚀剂去除工艺
作者:
M. Heidenblut
;
D. Sturm
;
A. Lechner
;
F. Faupel
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
post-etch residues;
raman microscopy;
FT-IR microscopy;
63.
Borderless via clean study for minimizing Al-Cu loss in 58nm flash devices
机译:
通过干净的研究实现无边界,可最大程度地减少58nm闪存设备中的Al-Cu损耗
作者:
Cheng-Kuen Chen
;
Pi-Chun Yu
;
Ming-Hsiu Lee
;
Chih-Ning Wu
;
Matsuo Hiroshi
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
borderless via;
Al-Cu undercut;
64.
The Effect of PVA Brush Scrubbing on Post CMP Cleaning Process for Damascene Cu Interconnection
机译:
PVA刷洗对镶嵌铜互连CMP后清洁工艺的影响
作者:
Hanchul Cho
;
Youngmin Kim
;
Hyunseop Lee
;
Sukbae Joo
;
Haedo Jeong
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
post-Cu CMP cleaning;
PVA brush;
non-contact mode;
hydrodynamic drag force;
65.
Impact of CMP polish and pCMP Cleaning on adhesion of SiCN capping layer on PECVD-derived Porous OSG and Copper
机译:
CMP抛光和pCMP清洁对PECN衍生的多孔OSG和铜上SiCN覆盖层粘附的影响
作者:
D. M. Gage
;
A.D.W. Thiel
;
R. H. Dauskardt
;
M. K. Haas
;
L.M. Matz
;
M. L. ONeill
;
T. M. Wieder
;
G. Banerjee
;
M. B. Rao
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
porous OSG;
copper;
SiCN;
cleaning chemistry;
adhesion;
66.
Low Temperature Pre-Epi Treatment: Critical Parameters to Control Interface Contamination
机译:
低温前期处理:控制界面污染的关键参数
作者:
Roger Loo
;
Andriy Hikavyy
;
Frederik Leys
;
Masayuki Wada
;
Ken-ichi Sano
;
Brecht De Vos
;
Antoine Pacco
;
Mireia Bargallo Gonzalez
;
Eddy Simoen
;
Peter Verheyen
;
Wendy Vanherle
;
Matty Caymax
会议名称:
《》
|
2008年
关键词:
Si/SiGe;
epitaxial growth;
low thermal budget;
embedded SiGe;
HBT;
67.
Surface preparation and passivation of III-V substrates for future ultra-high speed, low power logic applications
机译:
用于未来超高速,低功耗逻辑应用的III-V衬底的表面处理和钝化
作者:
Willy Rachmady
;
James Blackwell
;
Gilbert Dewey
;
Mantu Hudait
;
Marko Radosavljevic
;
Robert Turkot Jr.
;
Robert Chau
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
III-V;
surface passivation;
dielectric integration;
68.
Relationship between Atmospheric Humidity and Watermark Formation in IPA Dry of Si wafer after HF clean
机译:
硅片IPA干法HF清洗后大气湿度与水印形成的关系
作者:
N. Kurumoto
;
A. Eitoku
;
K. Miya
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
humidity;
watermarks;
wafer drying;
oxygen concentration;
69.
High speed imaging of 1 MHz driven microbubbles in contact with a rigid wall
机译:
与刚性壁接触的1 MHz驱动微气泡的高速成像
作者:
Aaldert Zijlstra
;
Tom Janssens
;
Kurt Wostyn
;
Michel Versluis
;
Paul M. Mertens
;
Detlef Lohse
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
megasonic;
cleaning;
cavitation;
visualization;
70.
Application of Single-Wafer Wet Cleaning Prior to Epitaxial SiGe Process
机译:
外延硅锗工艺之前单晶片湿法清洗的应用
作者:
Ken-ichi Sano
;
Masayuki Wada
;
Frederik Leys
;
Roger Loo
;
Andriy Hikavyy
;
Paul W. Mertens
;
James Snow
;
Akira Izumi
;
Katsuhiko Miya
;
Atsuro Eitoku
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
epitaxial growth;
Si;
SiGe;
single-wafer cleaning;
HF;
dry;
IPA;
71.
Developing a high volume manufacturing wet clean process to remove BF_2 implant induced molybdenum contamination
机译:
开发大批量制造的湿法清洁工艺,以去除BF_2植入物引起的钼污染
作者:
Akshey Sehgal
;
Hsin-Hsiung Huang
;
Jamal Ramdani
;
Jeffrey Klatt
;
Craig Printy
;
Scott Ruby
;
Todd Thibeault
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
mo contamination;
BF_2 implant;
high volume manufacturing;
Si-Mo-F-H2O system;
pourbaix diagrams;
72.
Study of the volatile organic contaminants absorption and their reversible outgassing by FOUPs
机译:
FOUP对挥发性有机污染物的吸收及其可逆除气的研究
作者:
Herve Fontaine
;
Sylviane Cetre
;
Marc Veillerot
;
Adrien Danel
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
AMC;
cross-contamination;
polymer;
73.
Prevention of Condensation Defects on Contact Patterns by Improving Rinse Process
机译:
通过改善冲洗过程防止接触图案上的凝结缺陷
作者:
Jung-Min Oh
;
Jeong-Nam Han
;
Kun-Tack Lee
;
Chang-Ki Hong
;
Woo-Sung Han
;
Joo-Tae Moon
;
Jin-Goo Park
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
condensation;
crystal defect;
residual gas;
DIW rinse;
74.
Drying of high aspect ratio structures: a comparison of drying techniques via electrical stiction analysis
机译:
高纵横比结构的干燥:通过电静力分析比较干燥技术
作者:
Antoine Pacco
;
Masayuki Wada
;
Twan Bearda
;
Paul Mertens
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
drying;
high aspect ratio;
MEMS;
DRAM;
electrical analysis;
stiction;
75.
Particle retention mechanism of filter in high temperature chemical
机译:
高温化学品中过滤器的颗粒保留机理
作者:
Takuya Nagafuchi
;
Koji Chiba
;
Isamu Funahashi
;
Minako Inukai
;
Hiroaki Yamada
;
Kaori Umezawa
;
Hiroshi Tomita
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
filter;
membrane;
pore size;
particle;
bubble;
76.
Improving Process Control for Copper Electroplating through Filter Membrane Optimization
机译:
通过滤膜优化改善电镀铜的工艺控制
作者:
Aiwen Wu
;
Gregg Cornner
;
Vinay Prabhaker
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
copper plating;
particle filtration;
copper plating solution;
77.
Megasonic Sweeping and Silicon Wafer Cleaning
机译:
Megasonic清扫和硅晶圆清洗
作者:
J.M.Goodson
;
R.Nagarajan
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
megasonic;
cleaning;
sweep;
wafer processing;
contamination control;
particle count;
78.
High aspect ratio contact clean study in 58nm flash device
机译:
58nm闪存器件中的高纵横比触点清洁研究
作者:
Pi-Chun Yu
;
Cheng-Kuen Chen
;
Jin-Lang Lin
;
Chih-Ning Wu
;
Matsuo Hiroshi
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
contact clean;
nano-spray;
PRE;
single wafer tool;
AM1;
79.
High velocity aerosol cleaning with organic solvents: Particle removal and substrate damage
机译:
使用有机溶剂进行高速气溶胶清洁:去除颗粒和损坏基材
作者:
Michael T. Andreas
;
Kurt Wostyn
;
Masayuki Wada
;
Tom Janssens
;
Karine Kenis
;
Twan Bearda
;
Paul W. Mertens
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
particle removal;
damage;
aerosol cleaning;
single-wafer cleaning;
EGEE;
NMP;
THFA;
80.
Metallic Contamination Control in Leading-edge ULSI Manufacturing
机译:
尖端ULSI制造中的金属污染控制
作者:
Ayako Shimazaki
;
Hiroki Sakurai
;
Masao lwase
;
Reiko Yoshimura
;
Tsukasa Tada
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
metallic contamination;
contamination control;
diffusivity;
81.
Stripping of ion implanted photoresist by CO_2 cryogenic pre-treatment followed by wet cleaning
机译:
通过CO_2低温预处理然后湿法清洗去除离子注入的光刻胶
作者:
Stephane Malhouitre
;
Rita Vos
;
Souvik Banerjee
;
Paul Cheng
;
Twan Bearda
;
Paul Mertens
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
cryogenic CO_2;
ion implanted photoresist;
FEOL cleaning;
high dose implant strip;
82.
Highly sensitive detection of inorganic contamination
机译:
高度灵敏地检测无机污染物
作者:
B.Beckhoff
;
A.Nutsch
;
R.AItmann
;
G.Borionetti
;
C.Pello
;
M.L.Polignano
;
D.Codegoni
;
S.Grasso
;
E.Cazzini
;
M.Bersani
;
P.Lazzeri
;
S.Gennaro
;
M.Kolbe
;
M.Mueller
;
P.Kregsamer
;
F.Posch
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
inorganic surface contamination;
ultra trace analysis;
matching of analytical techniques;
83.
Impact of megasonic activation with different chemistries on silicon surface in single wafer tool
机译:
不同化学成分的超音速活化对单晶片工具中硅表面的影响
作者:
Briend Guillaume
;
Besson Pascal
;
Salvetat Thierry
;
Petitdidier Sebastien
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
megasonic activation;
HF chemistry;
silicon surface;
damages;
oxidation;
haze;
84.
Etch rate study of Germanium, GaAs, and InGaAs: a challenge in semiconductor processing
机译:
锗,GaAs和InGaAs的蚀刻速率研究:半导体加工中的挑战
作者:
Sonja Sioncke
;
David P. Brunco
;
Marc Meuris
;
Olivier Uwamahoro
;
Jan Van Steenbergen
;
Evi Vrancken
;
Marc M. Heyns
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
Ge;
GaAs;
InGaAs;
etch rate;
85.
Photoresist adhesion during wet etch on single wafer tool
机译:
在单晶片工具上进行湿法蚀刻期间的光刻胶附着力
作者:
P.Garnier
;
B.Pernet
;
Y.Gomez
;
C.Duluard
;
A.Torres
;
D.Barge
;
M.Gatefait
;
D.Levy
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
dual gate oxide;
photoresist lift off;
single wafer tool;
priming;
86.
Co-solvent effect on the HF/CO_2 dry etching of sacrificial oxides
机译:
助溶剂对牺牲氧化物的HF / CO_2干法刻蚀的影响
作者:
Jae Hyun Bae
;
Jae Mok Jung
;
Kwon Taek Lim
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
etching;
sacrificial oxide;
BPSG;
HF;
residues;
supercritical CO2;
87.
Material Loss Impact on Device Performance for 32nm CMOS And Beyond
机译:
重大损失对32nm CMOS器件性能的影响
作者:
Brian K. Kirkpatrick
;
James J. Chambers
;
Steven L. Prins
;
Deborah J. Riley
;
Weize Wade Xiong
;
Xin Wang
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces;UCPSS 2008; 20080922-24;20080922-24; Bruges(BE);Bruges(BE)》
|
2008年
关键词:
material loss;
silicon consumption;
Isolation;
gate;
High-k/metal gates;
LDD;
and sidewall;
意见反馈
回到顶部
回到首页