掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
SPIE Advanced Lithography Conference
SPIE Advanced Lithography Conference
召开年:
2018
召开地:
San Jose(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures
机译:
EUV角分辨散射(EUV-ARS):表征纳米结构的新工具
作者:
Analia Fernandez Herrero
;
Heiko Mentzel
;
Victor Soltwisch
;
Sina Jaroslawzew
;
Christian Laubis
;
Frank Scholze
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
soft X-ray;
CD-metrology;
scatterometry;
composition analysis;
2.
Unique method for controlling device level overlay with high NA optical overlay technique using YieldStar in a DRAM HVM environment
机译:
在DRAM HVM环境中使用YieldStar通过高NA光学覆盖技术控制设备级覆盖的独特方法
作者:
Dong-Kiu Park
;
Hyun-Sok Kim
;
Moo-Young Seo
;
Jae-Wuk Ju
;
Young-Sik Kim
;
Mir Shahrjerdy
;
Arno van Leest
;
Aileen Soco
;
Giacomo Miceli
;
Jennifer Massier
;
Elliott Mc Namara
;
Paul Hinnen
;
Paul Boecker
;
Nang-Lyeom Oh
;
Sang-Hoon Jung
;
Yvon Chai
;
Jun- Hyung Lee
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Metrology;
Overlay;
After-etch;
Lithography;
DRAM;
3.
In-Cell Overlay Metrology by Using Optical Metrology Tool
机译:
使用光学计量工具的单元内重叠计量
作者:
Honggoo Lee
;
Sangjun Han
;
Minhyung Hong
;
Seungyong Kim
;
Jieun Lee
;
DongYoung Lee
;
Eungryong Oh
;
Ahlin Choi
;
Hyowon Park
;
Waley Liang
;
DongSub Choi
;
Nakyoon Kim
;
Jeongpyo Lee
;
Stilian Pandev
;
Sanghuck Jeon
;
John C Robinson
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
overlay;
scatterometry;
spectroscopic ellipsometry;
4.
Unbiased Roughness Measurements: The Key to Better Etch Performance
机译:
无偏粗糙度测量:提高蚀刻性能的关键
作者:
Andrew Liang
;
Chris Mack
;
Stephen Sirard
;
Chen-wei Liang
;
Liu Yang
;
Justin Jiang
;
Nader Shamma
;
Rich Wise
;
Jengyi Yu
;
Diane Hymes
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
5.
Clean Focus, Dose and CD Metrology for CD Uniformity Improvement
机译:
清洁焦点,剂量和CD计量以提高CD均匀性
作者:
Honggoo Lee
;
Sangjun Han
;
Minhyung Hong
;
Seungyong Kim
;
Jieun Lee
;
Dong Young Lee
;
Eungryong Oh
;
Ahlin Choi
;
Nakyoon Kim
;
John C. Robinson
;
Markus Mengel
;
Pablo Rovira
;
Sungchul Yoo
;
Raphael Getin
;
Dongsub Choi
;
Sanghuck Jeon
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
focus;
dose;
scatterometry;
6.
Massive metrology using fast e-beam technology improves OPC model accuracy by >2x at faster turnaround time
机译:
使用快速电子束技术的大规模计量可以在更快的周转时间将OPC模型的精度提高2倍以上
作者:
Qian Zhao
;
Lei Wang
;
Jazer Wang
;
ChangAn Wang
;
Hong-Fei Shi
;
James Guerrero
;
Mu Feng
;
Qiang Zhang
;
Jiao Liang
;
Yunbo Guo
;
Chen Zhang
;
Tom Wallow
;
David Rio
;
Lester Wang
;
Alvin Wang
;
Jen-Shiang Wang
;
Keith Gronlund
;
Jun Lang
;
Kar Kit Koh
;
Dong Qing Zhang
;
Hongxin Zhang
;
Subramanian Krishnamurthy
;
Ray Fei
;
Chiawen Lin
;
Wei Fang
;
Fei Wang
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
CD metrology;
eBeam technology;
massive metrology;
CD-SEM;
Large field of view (LFOV);
OPC model accuracy;
high volume image processing;
error source analysis;
image averaging;
model turnaround time;
7.
Through-focus scanning optical microscopy (TSOM) with adaptive optics
机译:
具有自适应光学元件的全焦点扫描光学显微镜(TSOM)
作者:
Jun Ho Lee
;
Gyunam Park
;
Junhee Jeong
;
Chris Park
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Optical inspection/metrology;
through-focus scanning optical microscopy (TSOM);
3D inspection/metrology;
adaptive optics;
deformable mirror;
tip/tilt mirror;
Shack-Hartmann sensor;
8.
CD-SEM Real Time Bias Correction Using Reference Metrology Based Modeling
机译:
基于参考计量学的CD-SEM实时偏差校正
作者:
V. Ukraintsev
;
W. Banke
;
G. Zagorodnev
;
C. Archie
;
N. Rana
;
V. Pavlovsky
;
V. Smirnov
;
I. Briginas
;
A. Katnani
;
A. Vaid
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
accuracy;
measurement uncertainty;
critical dimensions;
bias;
CD-SEM;
reference metrology;
waveform;
9.
Allowable SEM noise for unbiased LER measurement
机译:
允许的SEM噪声用于无偏LER测量
作者:
George Papavieros
;
Vassilios Constantoudis
;
Evangelos Gogolides
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
10.
Study on the dark-field illumination for near-field microscope using anamorphic optics to inspect defects on semiconductor wafers
机译:
利用变形光学技术检测半导体晶片缺陷的近场显微镜暗场照明研究
作者:
Woojun Han
;
Sunseok Yang
;
Hangyeong Oh
;
Yoongi Lee
;
Jaisoon Kim
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
near-field;
inspection;
optical;
illumination;
ultra violet;
anamorphic;
11.
Advanced CD-SEM imaging methodology for EPE measurements
机译:
用于EPE测量的高级CD-SEM成像方法
作者:
Yoshikata Takemasa
;
Takeyoshi Ohashi
;
Hiroyuki Shindo
;
Gian Lorusso
;
Anne-Laure Charley
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EPE;
CD-SEM;
charge control method;
EUV;
SEM-Contour;
Block on SAQP;
12.
Design Optimization of Highly Asymmetrical Layouts by 2D Contour Metrology
机译:
基于2D轮廓计量学的高度不对称布局的设计优化
作者:
C.M. Hu
;
Fred Lo
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
contour extraction;
process variation band index;
hotspot;
13.
Feed-forward alignment correction for advanced overlay process control using a standalone alignment station 'Litho Booster'
机译:
前馈对准校正使用独立的对准站“ Litho Booster”进行高级覆盖过程控制
作者:
Takehisa Yahiro
;
Junpei Sawamura
;
Tomonori Dosho
;
Yuji Shiba
;
Satoshi Ando
;
Jun Ishikawa
;
Masahiro Morita
;
Yuichi Shibazaki
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
On-product overlay;
Alignment;
Feed-forward correction;
Wafer distortion;
Process control;
14.
Multifractal analysis of Line Edge Roughness
机译:
线边缘粗糙度的多重分形分析
作者:
Vassilios Constantoudis
;
George Papavieros
;
Gian Lorusso
;
Vito Rutigliani
;
Frieda Van Roey
;
Evangelos Gogolides
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Line Edge Roughness (LER);
Line Width Roughness (LWR);
Fractals;
Power Spectral Density (PSD);
Multifractals;
multifractal spectrum;
Box-Counting method;
SEM metrology;
Multiple Patterning Lithography (MPL);
15.
Contact Inspection of Si Nanowire with SEM Voltage Contrast
机译:
具有SEM电压对比的Si纳米线的接触检查
作者:
Takeyoshi Ohashi
;
Atsuko Yamaguchi
;
Kazuhisa Hasumi
;
Masami Ikota
;
Gian Lorusso
;
Naoto Horiguchi
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
CD-SEM;
nanowire;
voltage contrast;
contact inspection;
resistance measurement;
capacitance measurement;
charging dynamics;
16.
The Need for LWR Metrology Standardization: The imec Roughness Protocol
机译:
LWR计量标准化的需求:imec粗糙度协议
作者:
Gian Francesco Lorusso
;
Takumichi Sutani
;
Vito Rutigliani
;
Frieda Van Roey
;
Alain. Moussa
;
Anne-Laure Charley
;
Chris Mack
;
Patrick Naulleau
;
Vassilios Constantoudis
;
Masami Ikota
;
Toru Ishimoto
;
Shunsuke Koshihara
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
17.
Measurement of Pattern Roughness and Local Size Variation using CD-SEM: Current Status
机译:
使用CD-SEM测量图案粗糙度和局部尺寸变化:当前状态
作者:
Hiroshi Fukuda
;
Takahiro Kawasaki
;
Hiroki Kawada
;
Kei Sakai
;
Takashi Kato
;
Satoru Yamaguchi
;
Masami Ikcota
;
Yoshinori Momonoi
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
CD-SEM;
metrology;
line edge roughness;
line width roughness;
power spectral density;
local CD uniformity;
noise mitigation;
18.
Influence of e-beam aperture angle on CD-SEM measurements for high aspect ratio structure
机译:
电子束孔径角对高长宽比结构的CD-SEM测量的影响
作者:
Daisuke Bizen
;
Makoto Sakakibara
;
Makoto Suzuki
;
Uki Ikeda
;
Shunsuke Mizutani
;
Kouichi Kurosawa
;
Hajime Kawano
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
CD-SEM;
Monte-Carlo simulation;
high aspect ratio structure;
19.
Enabling optical metrology on small 5×5um~2 in-cell targets to enabe flexible sampling and higher order overlay control for advanced Logic devices
机译:
在较小的5×5 um〜2单元内目标上启用光学计量,以实现灵活的采样和高级逻辑设备的高阶覆盖控制
作者:
Antonio Salerno
;
Isabel de la Fuente
;
Zack Hsu
;
Alan Tai
;
Hammer Chang
;
Elliott McNamara
;
Hugo Cramer
;
Daoping Li
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Metrology;
Overlay;
After-etch;
Lithography;
Logic devices;
Target design;
20.
A novel patterning control strategy based on real-time fingerprint recognition and adaptive wafer level scanner optimization
机译:
基于实时指纹识别和自适应晶圆级扫描仪优化的新型图案控制策略
作者:
Hakki Ergun Cekli
;
Jelle Nije
;
Alexander Ypma
;
Vahid Bastani
;
Dag Sonntag
;
Henk Niesing
;
Linmiao Zhang
;
Zakir Ullah
;
Venky Subramony
;
Ravin Somasundaram
;
William Susanto
;
Masazumi Matsunobu
;
Jeff Johnson
;
Cyrus Tabery
;
Chenxi Lin
;
Yi Zou
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Patterning Fingerprints;
Process Control;
Overlay;
Focus;
Alignment;
Leveling;
Yield;
Fingerprint Correction;
Data Mining;
Principal Component Analysis;
21.
Computational metrology: enabling full-lot high-density fingerprint information without adding wafer metrology budget, and driving improved monitoring and process control
机译:
计算计量:在不增加晶圆计量预算的情况下,实现全部高密度指纹信息,并推动改进的监视和过程控制
作者:
Hyun-Sok Kim
;
Min-Sung Hyun
;
Jae-Wuk Ju
;
Young-Sik Kim
;
Cees Lambregts
;
Peter van Rhee
;
Johan Kim
;
Elliott McNamara
;
Wim Tel
;
Paul Boecker
;
Nang-Lyeom Oh
;
Jun-Hyung Lee
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
22.
Monte Carlo simulation of edge placement error
机译:
边缘放置误差的蒙特卡洛模拟
作者:
Shinji Kobayashi
;
Soichiro Okada
;
Satoru Shimura
;
Kathleen Nafus
;
Carlos Fonseca
;
Joel Estrella
;
Masashi Enomoto
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
IPFE;
EPE;
density function;
LWR;
ANOVA;
LCDU;
SPN;
23.
Geometry-based across wafer process control in a dual damascene scenario
机译:
双镶嵌场景中基于几何的跨晶圆工艺控制
作者:
Gerd Krause
;
Detlef Hofmann
;
Boris Habets
;
Stefan Buhl
;
Manuela Gutsch
;
Alberto Lopez-Gomez
;
Xaver Thrun
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
APC;
critical dimension;
etch;
CMP;
dual damascene;
200 mm;
24.
Backscattered electron simulations to evaluate sensitivity against electron dosage of buried semiconductor features
机译:
反向散射电子仿真,以评估对掩埋半导体特征的电子剂量的敏感性
作者:
Maseeh Mukhtar
;
Bradley Thiel
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
metrology;
JMONSEL;
SEM;
HV-SEM;
EBI;
overlay;
backscattered electrons;
25.
Matching between simulations and measurements as a key driver for reliable overlay target design
机译:
模拟和测量之间的匹配是可靠的覆盖目标设计的关键驱动力
作者:
Lozenko S.
;
Shapoval T.
;
Ben-Dov G.
;
Lindenfeld Z.
;
Schulz B.
;
Fuerst L.
;
Hartig C.
;
Haupt R.
;
Ruhm M.
;
Wang R.
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
optical metrology;
target design;
overlay;
scatterometry;
simulations;
SCOL;
AcuRate;
S2M;
26.
Higher order intra-field alignment for intra-wafer lens and reticle heating control
机译:
晶片内透镜和中间掩模加热控制的高阶场内对准
作者:
Charlie Chen
;
En Chuan Lio
;
Hsiao Lin Hsu
;
Jia Hung Chang
;
Sho Shen Lee
;
Patrick Lomtscher
;
Boris Habets
;
Georg Erley
;
Norman Birnstein
;
Steven Tottewitz
;
Rex Liu
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Intra-field;
iHOPC;
higher-order process corrections;
reticle heating;
lens heating;
overlay control;
alignment;
optimization;
throughput;
reticle;
tool monitoring;
27.
Accuracy optimization with wavelength tunability in overlay imaging technology
机译:
叠加成像技术中具有波长可调性的精度优化
作者:
Honggoo Lee
;
Yoonshik Kang
;
Sangjoon Han
;
Kyuchan Shim
;
Minhyung Hong
;
Seungyoung Kim
;
Jieun Lee
;
Dongyoung Lee
;
Eungryonh Oh
;
Ahlin Choi
;
Youngsik Kim
;
Tal Marciano
;
Dana Klein
;
Eitan M. Hajaj
;
Sharon Aharon
;
Guy Ben-Dov
;
Saltoun Lilach
;
Dan Serero
;
Anna Golotsvan
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
image based overlay;
wavelength tunability;
metrology;
overlay targets;
accuracy;
AIM;
28.
Overlay and stitching metrology for massively parallel electron beam lithography
机译:
大规模平行电子束光刻的叠加和拼接计量
作者:
Guido Rademaker
;
Jonathan Pradelles
;
Stefan Landis
;
Stephane Key
;
Anna Golotsvan
;
Anat Marchelli
;
Tal Itzkovich
;
Tetyana Shapoval
;
Ronny Haupt
;
Erwin Slot
;
Guido de Boer
;
Dhara Dave
;
Marco Wieland
;
Laurent Pain
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
MAPPER;
massively parallel maskless electron beam lithography;
metrology;
image based overlay;
stitching;
total measurement uncertainty;
29.
Context-based virtual metrology
机译:
基于上下文的虚拟计量
作者:
Peter Ebersbach
;
Adam M. Urbanowicz
;
Dmitriy Likhachev
;
Carsten Hartig
;
Michael Shifrin
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
hybrid metrology;
optical modeling;
virtual metrology;
machine learning;
process context;
process commonality;
process control;
30.
Approaches of multilayer overlay process control for 28nm FD-SOI derivative applications
机译:
用于28nm FD-SOI衍生物应用的多层覆盖工艺控制方法
作者:
Benjamin Duclaux
;
Jean De Caunes
;
Robin Perrier
;
Maxime Gatefait
;
Bertrand Le Gratiet
;
Jean-Damien Chapon
;
Cedric Monget
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Overlay;
Process control;
Multilayer;
eNVM;
Alignment;
Feed-forward;
Process Assumptions;
31.
Programmed LWR metrology by multi-techniques approach
机译:
通过多技术方法编程的LWR计量
作者:
Jerome Reche
;
Maxime Besacier
;
Patrice Gergaud
;
Yoann Blancquaert
;
Guillaume Freychet
;
Thibault Labbaye
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
LWR;
Roughness;
SAXS;
OCD;
CD-SEM;
Metrology;
32.
HOLISTIC METROLOGY QUALIFICATION EXTENSION AND ITS APPLICATION TO CHARACTERIZE OVERLAY TARGETS WITH ASYMMETRIC EFFECTS
机译:
整体气象学定性扩展及其在刻画不对称效应上的应用
作者:
Olavio Dos Santos Ferreira
;
Reza Sadat Gousheh
;
Bart Visser
;
Kenrick Lie
;
Rachel Teuwen
;
Pavel Izikson
;
Grzegorz Grzela
;
Babak Mokaberi
;
Danish Husain
;
Steve Zhou
;
Justin Smith
;
Ram S. Mandoy
;
Raul Olvera
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Target Asymmetry;
On-Product Overlay;
HMQ;
Spinner;
Triangle;
Accuracy;
33.
Modeling of electron-specimen interaction in scanning electron microscope for e-beam metrology and inspection: Challenges and perspectives
机译:
用于电子束计量和检查的扫描电子显微镜中电子-标本相互作用的建模:挑战和观点
作者:
Makoto Suzuki
;
Toshimasa Kameda
;
Ayumi Doi
;
Sergey Borisov
;
Sergey Babin
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Monte Carlo simulation;
Electron-solid interaction;
Scanning electron microscopy;
34.
Holistic Approach for Overlay and Edge Placement Error to meet the 5-nm Technology Node Requirements
机译:
覆盖和边缘放置错误的整体方法,以满足5nm技术节点的要求
作者:
Jan Mulkens
;
Bram Slachter
;
Michael Kubis
;
Wim Tel
;
Paul Hinnen
;
Mark Maslow
;
Harm Dillen
;
Eric Ma
;
Kevin Chou
;
Xuedong Liu
;
Weiming Ren
;
Xuerang Hu
;
Fei Wang
;
Kevin Liu
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Edge Placement Error;
OPC;
Overlay;
CDU;
computational metrology;
optical scatterometry;
e- beam inspection;
multi-beam;
35.
Developing a flexible model of electron scattering in solid for charging analysis
机译:
开发用于电荷分析的固体中电子散射的灵活模型
作者:
Chahn Lee
;
Toshiyuki Yokosuka
;
Hideyuki Kazumi
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Charging phenomenon;
simulation;
SEM;
electron scattering;
36.
Automated mask and wafer defect classification using a novel method for generalized CD variation measurements
机译:
使用新颖的方法对掩膜和晶圆缺陷进行自动分类,以进行广义CD变化测量
作者:
V. Verechagin
;
R. Kris
;
I. Schwarzband
;
A. Milstein
;
B. Cohen
;
A. Shkalim
;
S. Levy
;
D. Price
;
E. Bal
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Automatic Defect Classification;
Mask Metrology;
SEM Metrology;
CD Measurements;
37.
7/5 nm Logic Manufacturing Capabilities and Requirements of Metrology
机译:
7/5 nm逻辑制造能力和计量要求
作者:
Benjamin Bunday
;
A. F. Bello
;
Eric Solecky
;
Alok Vaid
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
metrology;
gaps analysis;
critical dimension;
defect;
films;
CD-SEM;
OCD;
X-ray;
EBI;
overlay;
38.
Model improvements to simulate charging in SEM
机译:
改进模型以在SEM中模拟充电
作者:
K. T. Arat
;
T. Klimpel
;
C. W. Hagen
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Charging;
SEM;
Monte-Carlo simulation;
EBIC;
Breakdown;
Low Energy Electrons;
39.
Advanced combined overlay and CD uniformity measurement mark for double patterning
机译:
先进的组合式覆盖层和CD均匀性测量标记,可实现双重图案
作者:
Hsiao Lin Hsu
;
En Chuan Lio
;
Charlie Chen
;
Jia Hung Chang
;
Sho Shen Lee
;
Stefan Buhl
;
Manuela Gutsch
;
Patrick Lomtscher
;
Martin Freitag
;
Boris Habets
;
Rex Liu
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Double patterning;
SADP;
CD uniformity;
triple AIM™;
mark enhancement;
throughput;
optimization;
overlay;
spacer deposition;
40.
Outlier detection in contamination control
机译:
污染控制中的异常值检测
作者:
Jeffrey Weintraub
;
Scott Warrick
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
41.
Understanding overlay signatures using machine learning on non-lithography context information
机译:
使用机器学习对非光刻上下文信息了解覆盖签名
作者:
Marshall Overcast
;
Corey Mellegaard
;
David Daniel
;
Boris Habets
;
Georg Erley
;
Steffen Guhlemann
;
Xaver Thrun
;
Stefan Buhl
;
Steven Tottewitz
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Run-to-run;
machine learning;
non-litho contribution;
non-linear overlay;
simulation;
mass context data;
process signatures;
42.
In-depth analysis and characterization of a dual damascene process with respect to different CD
机译:
关于不同CD的双重镶嵌工艺的深入分析和表征
作者:
Gerd Krause
;
Detlef Hofmann
;
Boris Habets
;
Stefan Buhl
;
Manuela Gutsch
;
Alberto Lopez-Gomez
;
Wan-Soo Kim
;
Xaver Thrun
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
APC;
critical dimension;
etch;
CMP;
dual damascene;
200 mm;
43.
Defect inspection using a time-domain mode decomposition technique
机译:
使用时域模式分解技术进行缺陷检查
作者:
Jinlong Zhu
;
Lynford L. Goddard
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
defect inspection;
empirical mode decomposition;
time-varying frequency scanning;
44.
Image-based Overlay Measurement Using Subsurface Ultrasonic Resonance Force Microscopy
机译:
使用地下超声共振力显微镜的基于图像的叠加测量
作者:
M. S. Tamer
;
M.J. van Lans
;
H. Sadeghian
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Image-Based Overlay Measurement;
Subsurface microscopy;
Atomic Force Microscopy;
Subsurface Ultrasonic Force Microscopy;
Metrology;
Inspection;
45.
Critical-Dimension Grazing Incidence Small Angle X-ray Scattering
机译:
临界尺寸掠入射小角度X射线散射
作者:
G. Freychet
;
D. Kumar
;
R. Pandolfi
;
D. Staaks
;
P. Naulleau
;
R. J. Kline
;
D. Sunday
;
M. Fukuto
;
J. Strzalka
;
A. Hexemer
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
CD-SAXS;
GISAXS;
Genetic algorithm;
lithography;
metrology;
semiconductors;
periodic nanostructures;
46.
Advanced CD-SEM solution for edge placement error characterization of BEOL pitch 32nm metal layers
机译:
先进的CD-SEM解决方案,用于表征BEOL间距32nm金属层的边缘放置误差
作者:
A. Charley
;
P. Leray
;
G. Lorusso
;
T. Sutani
;
Y. Takemasa
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
CD-SEM;
metrology;
block;
SAQP;
EPE;
47.
Yield Impact for Wafer Shape Misregistration-based Binning for Overlay APC Diagnostic Enhancement
机译:
基于晶圆形状失准分档的重叠APC诊断增强的产量影响
作者:
David Jayez
;
Kevin Jock
;
Yue Zhou
;
Venugopal Govindarajulu
;
Zhen Zhang
;
Fatima Anis
;
Felipe Tijiwa-Birk
;
Shivam Agarwal
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
48.
Quantitative approach for optimizing e-beam condition of photoresist inspection and measurement
机译:
优化光刻胶检测和测量电子束条件的定量方法
作者:
Chia-Jen Lin
;
Chia-Hao Teng
;
Po-Chung Cheng
;
Yoshishige Sato
;
Shang-Chieh Huang
;
Chu-En Chen
;
Kotaro Maruyama
;
Yuichiro Yamazaki
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Die to Database;
GDS;
CD Measurement;
Inspection;
Charging Control;
Photoresist;
SEM Optimization;
Scanning Electron Microscopy;
49.
Tracking the Defects of Ultra-Thin HfO_2 using a Cody-Lorentz Multiple Oscillator Model
机译:
使用Cody-Lorentz多振荡器模型跟踪超薄HfO_2的缺陷
作者:
Dawei Hu
;
Aaron J. Rosenberg
;
Houssam Chouaib
;
Natalia Malkova
;
Zhengquan Tan
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Spectroscopic ellipsometry;
High-K;
HfO_2;
Defect;
Dispersion;
Cody-Lorentz model;
Sub-bandgap;
50.
Complex EUV Imaging Reflectometry: Spatially-Resolved 3D Composition Determination and Dopant Profiling with a Tabletop 13nm Source
机译:
复杂的EUV成像反射仪:使用桌面13nm光源进行空间分辨的3D成分测定和掺杂物分析
作者:
Christina L. Porter
;
Michael Tanksalvala
;
Michael Gerrity
;
Galen P. Miley
;
Yuka Esashi
;
Naoto Horiguchi
;
Xiaoshi Zhang
;
Charles S. Bevis
;
Robert Karl Jr.
;
Peter Johnsen
;
Daniel E. Adams
;
Henry C. Kapteyn
;
Margaret M. Murnane
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Reflectometry;
quantitative imaging;
coherent diffraction imaging;
ptychography;
13 nm imaging;
actinic inspection;
reflection mode imaging;
mask inspection;
overlay inspection;
51.
How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature
机译:
如何测量EUV光刻加工特征中出现的几纳米小LER
作者:
Hiroki Kawada
;
Takahiro Kawasaki
;
Junichi Kakuta
;
Tsuyoshi Kondo
;
Masami Ikota
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
CD-SEM;
roughness;
LER;
LWR;
wiggling;
bias;
error;
EUV;
52.
Prototype through-pellicle coherent imaging using a 30nm tabletop EUV source
机译:
使用30nm台式EUV光源进行原型穿透膜的相干成像
作者:
Charles S. Bevis
;
Robert M. Karl Jr.
;
Bin Wang
;
Yuka Esashi
;
Michael Tanksalvala
;
Christina L. Porter
;
Peter Johnsen
;
Daniel E. Adams
;
Margaret M. Murnane
;
Henry C. Kapteyn
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Coherent diffractive imaging;
ptychography;
phase retrieval;
through-pellicle imaging;
53.
LMS IPRO: Enabling local registration measurements for efficient e-beam writer correction
机译:
LMS IPRO:启用本地注册测量以进行有效的电子束写入器校正
作者:
Hendrik Steigerwald
;
Oliver Ache
;
Runyuan Han
;
Frank Laske
;
Klaus-Dieter Roeth
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
LMS IPRO;
Metrology;
Registration;
54.
Cognitive learning: a machine learning approach for automatic process characterization from design
机译:
认知学习:一种从设计中自动表征过程的机器学习方法
作者:
J. Foucher
;
J. Baderot
;
S. Martinez
;
A. Derville
;
G. Bernard
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Pattern measurement;
machine learning;
image processing;
accuracy;
precision;
flexibility;
user independent;
process optimization;
55.
A new way of measuring wiggling pattern in SADP for 3D NAND technology
机译:
一种用于3D NAND技术的SADP中摆动模式测量的新方法
作者:
Jian Mi
;
Ziqi Chen
;
Li Ming Tu
;
Xiaoming Mao
;
Gong Cai Liu
;
Hiroki Kawada
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Wiggling;
CD-SEM;
Review-SEM;
Image;
Metrology;
3D NAND;
56.
Setting up a proper Power Spectral Density (PSD) and Autocorrelation Analysis for Material and Process Characterization.
机译:
设置适当的功率谱密度(PSD)和自相关分析,以进行材料和过程表征。
作者:
Vito Rutigliani
;
Gian Francesco Lorusso
;
Danilo De Simone
;
Frederic Lazzarino
;
Gijsbert Rispens
;
George Papavieros
;
Evangelos Gogolides
;
Vassilios Constantoudis
;
Chris A. Mack
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Line-edge roughness;
Line-width roughness;
power spectral density;
autocorrelation coefficient;
PSD;
LER;
LWR;
57.
Assessment of variability and defectivity by high-throughput e-beam metrology for prediction of patterning defect probabilities
机译:
通过高通量电子束计量学评估变异性和缺陷性,以预测构图缺陷概率
作者:
Fuming Wang
;
Stefan Hunsche
;
Roy Anunciado
;
Antonio Corradi
;
Hung Yu Tien
;
Peng Tang
;
Junwei Wei
;
Yongjun Wang
;
Wei Fang
;
Patrick Wong
;
Anton van Oosten
;
Koen van Ingen Schenau
;
Bram Slachter
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
stochastics;
LCDU;
fat-tail;
patterning defects;
e-beam;
patterning fidelity;
holistic lithography;
58.
Study of μDBO overlay target size reduction for application broadening
机译:
μDBO覆盖目标尺寸减小以扩展应用范围的研究
作者:
Victor Calado
;
Jerome Depre
;
Clement Massacrier
;
Sergey Tarabrin
;
Richard van Haren
;
Florent Dettoni
;
Regis Bouyssou
;
Christophe Dezauzier
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Overlay metrology;
FD-SOI;
Diffraction based overlay;
59.
Line Width Roughness of Advanced Semiconductor Features by Using FIB and Planar-TEM as Reference Metrology
机译:
以FIB和Planar-TEM为参考度量的先进半导体功能的线宽粗糙度
作者:
Kiyoshi Takamasu
;
Satoru Takahashi
;
Hiroki Kawada
;
Masami Ikota
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
LWR;
PSD;
FinFET;
SAQP;
EUV;
planar-TEM;
CD-SEM;
Reference metrology;
60.
Image Based Overlay and Alignment Metrology Through Optically Opaque Media with Sub-surface Probe Microscopy
机译:
通过基于光学的不透明介质和亚表面探针显微镜进行基于图像的叠加和对准计量
作者:
Maarten H. van Es
;
Abbas Mohtashami
;
Daniele Piras
;
Hamed Sadeghian
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Scanning probe microscopy;
overlay and alignment;
defects;
on-cell;
61.
Implementation of machine learning for high volume manufacturing metrology challenges
机译:
实施机器学习以应对大批量制造计量挑战
作者:
Padraig Timoney
;
Taher Kagalwala
;
Edward Reis
;
Houssam Lazkani
;
Jonathan Hurley
;
Haibo Liu
;
Charles Kang
;
Paul Isbester
;
Naren Yellai
;
Michael Shifrin
;
Yoav Etzioni
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Machine Learning;
High Volume Manufacturing;
E Test;
Process Control;
Optical Metrology;
Metrology Budget;
Model Complexity;
62.
Multi-wavelength approach towards on-product overlay accuracy and robustness
机译:
多波长方法可提高产品叠加的准确性和鲁棒性
作者:
Kaustuve Bhattacharyya
;
Marc Noot
;
Hammer Chang
;
Sax Liao
;
Ken Chang
;
Benny Gosali
;
Eason Su
;
Cathy Wang
;
Arie den Boef
;
Christophe Fouquet
;
Guo-Tsai Huang
;
Kai-Hsiung Chen
;
Kevin Cheng
;
John Lin
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Overlay;
on-product;
diffraction;
DBO;
scatterometer;
metrology;
accuracy;
robustness;
swing-curve;
multi wavelength;
color mixing;
63.
I-line stepper based overlay evaluation method for wafer bonding applications
机译:
用于晶片键合应用的基于i线步进的覆盖评估方法
作者:
P. Kulse
;
K. Sasai
;
K. Schulz
;
M. Wietstruck
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Back to front side alignment;
Infrared illumination;
Through-Silicon Vias;
Wafer Bonding;
64.
Toward reliable and repeatable, automated STEM-EDS metrology with high throughput
机译:
迈向高吞吐量的可靠,可重复的自动化STEM-EDS计量
作者:
Zhenxin Zhong
;
Jason Donald
;
Gavin Dutrow
;
Justin Roller
;
Ozan Ugurlu
;
Martin Verheijen
;
Oleksii Bidiuk
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
automation;
scanning transmission electron microscopy;
energy dispersive X-ray spectroscopy;
metrology;
throughput;
signal to noise ratio;
3d NAND;
65.
Shot-noise limited throughput of soft x-ray ptychography for nanometrology applications
机译:
用于纳米计量学的软X射线气相色谱仪的散粒噪声限制了通量
作者:
Wouter Koek
;
Bastiaan Florijn
;
Stefan Baeumer
;
Rik Kruidhof
;
Hamed Sadeghian
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
ptychography;
lensless imaging;
throughput;
shot noise;
soft x-ray;
EUV;
nanometrology;
66.
Characterization and imaging of nanostructured materials using tabletop extreme ultraviolet light sources
机译:
使用台式极紫外光源对纳米结构材料进行表征和成像
作者:
Robert Karl Jr.
;
Joshua Knobloch
;
Travis Frazer
;
Michael Tanksalvala
;
Christina Porter
;
Charles Bevis
;
Weilun Chao
;
Begona Abad Mayor
;
Daniel Adams
;
Giulia Mancini
;
Jorge N. Hernandez-Charpak
;
Henry Kapteyn
;
Margaret Murnane
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Ultrafast x-rays;
nanometrology;
ultrathin films;
nano-mechanical properties;
coherent diffractive imaging;
ptychography;
photoacoustic;
67.
Spectroscopic Vector Analysis for Fast Pattern Quality Monitoring
机译:
光谱矢量分析,用于快速图案质量监控
作者:
Younghoon Sohn
;
Sungyoon Ryu
;
Chihoon Lee
;
Yusin Yang
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Pattern quality monitoring;
Spectroscopic Ellipsometry;
Pattern profiling;
Linear spectral vector analysis;
68.
Overlay of multiframe SEM images including nonlinear field distortions
机译:
包括非线性场失真的多帧SEM图像的叠加
作者:
S. Babin
;
S. Borisov
;
I. Ivonin
;
S. Nakazawa
;
Y. Yamazaki
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
CD-SEM;
CD metrology;
distortions;
multiframe;
overlay;
critical dimensions;
image analysis;
tool performance;
69.
Scanner focus metrology and control system by pure focus for advanced lOnm logic node
机译:
纯聚焦的扫描仪聚焦计量和控制系统,用于高级lOnm逻辑节点
作者:
Jonghun Oh
;
Kwang-Seok Maeng
;
Jae-Hyung Shin
;
Won-Woong Choi
;
Sung-Keun Won
;
Cedric Grouwstra
;
Mohamed El Kodadi
;
Stephan Heil
;
Vidar van der Meijden
;
Jong Kyun Hong
;
Sang-Jin Kim
;
Oh-Sung Kwon
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Pure focus;
DBF;
focus;
APC;
excursion detection;
monitoring;
process control;
focus range;
imaging optimizer;
BMMO;
70.
Cutting Edge Multiple Beam Technology for EUV Era: Latest Development Progress and Application
机译:
EUV时代的尖端多光束技术:最新开发进展和应用
作者:
Eric Ma
;
Kevin Chou
;
Xuedong Liu
;
Weiming Ren
;
Xuerang Hu
;
Fei Wang
;
Kevin Liu
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Multiple beam;
MBI;
EUV;
high throughput;
EBI;
ebeam inspection;
71.
Correlation study of actual temperature profile and in-line metrology measurements for within-wafer uniformity improvement and wafer edge yield enhancement
机译:
实际温度曲线和在线计量测量的相关性研究,以提高晶圆内均匀性和提高晶圆边缘良率
作者:
Fang Fang
;
Alok Vaid
;
Alina Vinslava
;
Richard Casselberry
;
Shailendra Mishra
;
Dhairya Dixit
;
Padraig Timoney
;
Dinh Chu
;
Candice Porter
;
Da Song
;
Zhou Ren
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
SensArray EtchTemp-SE technology;
electrostatic chuck (ESC) temperature;
chamber to chamber mismatching;
edge yield enhancement;
recess depth;
critical dimensions (CD);
72.
Advanced technique for Ultra-thin residue inspection with sub 10 nm thickness using high-energy back-scattered electrons
机译:
使用高能背散射电子的超薄残留物检测技术,厚度小于10 nm
作者:
Jin-Hee Han
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
73.
Automated AFM for Small-Scale and Large-Scale Surface Profiling in CMP Applications
机译:
自动原子力显微镜(AFM)用于CMP应用中的小规模和大规模表面分析
作者:
Ardavan Zandiatashbar
;
Byong Kim
;
Young-kook Yoo
;
Keibock Lee
;
Ahjin Jo
;
Ju Suk Lee
;
Sang-Joon Cho
;
Sang-il Park
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Atomic force microscopy;
atomic force profiler;
chemical mechanical planarization;
metrology;
dishing;
erosion;
non-contact mode;
74.
X-ray EM simulation tool for ptychography dataset construction
机译:
用于谱图数据集构建的X射线EM仿真工具
作者:
L. Pjotr Stoevelaar
;
Giampiero Gerini
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
X-Ray;
Ptychography;
Full-Wave Simulation;
Framework;
75.
Improved control of multi-layer overlay in advanced 8nm Logic nodes
机译:
改进了高级8nm逻辑节点中多层覆盖的控制
作者:
Tae-Sun Kim
;
Young-Sik Park
;
Yong-Chul Kim
;
Byoung-Hoon Kim
;
Ji-Hun Lee
;
Min-Keun Kwak
;
Sung-Won Choi
;
Joon-Soo Park
;
Hong-Cheon Yang
;
Philipp Meixner
;
Dong-jin Lee
;
Oh-Sung Kwon
;
Hyun-Su Kim
;
Jin-Tae Park
;
Sung-Min Lee
;
Cedric Grouwstra
;
Vidar van der Meijden
;
Mohamed el Kodadi
;
Chris Kim
;
Pierre-Yves Guittet
;
Tjitte Nooitgedagt
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
76.
Advanced defect classification by smart sampling, based on sub-wavelength anisotropic scatterometry
机译:
基于亚波长各向异性散射法,通过智能采样进行高级缺陷分类
作者:
Peter van der Walle
;
Esther Kramer
;
Rob Ebeling
;
Helma Spruit
;
Paul Alkemade
;
Silvania F. Pereira
;
Jacques van der Donck
;
Diederik Maas
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Particle contamination;
defect detection;
defect review;
advanced defect classification;
redetection;
semiconductor;
latex sphere equivalent;
dark field microscopy;
scatterometry;
ADC;
SEM;
意见反馈
回到顶部
回到首页