掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International symposium on ultra clean processing of semiconductor surfaces
International symposium on ultra clean processing of semiconductor surfaces
召开年:
2014
召开地:
Brussels(BE)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Necessity of Cleaning and its Application in Future Memory Devices
机译:
清洁的必要性及其在未来存储设备中的应用
作者:
Geunmin Choi
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
cleaning;
chemical fume;
FOUP purging;
cluster system;
human safety;
leaning-free;
2.
Removal of Interfacial Layer in HfO_2 Gate Stack by Post-Gate Cleaning Using NF_3/NH_3 Dry Cleaning Technique
机译:
使用NF_3 / NH_3干洗技术进行后门清洗,去除HfO_2栅堆叠中的界面层
作者:
Min Seon Lee
;
Hoon Jung Oh
;
Joo Hee Lee
;
In Geun Lee
;
Woo Gon Shin
;
Sung Yong Kang
;
Dae Hong Ko
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
HfO_2;
Post-gate cleaning;
Dry cleaning;
NF_3/NH_3 plasma;
3.
Catalyst assisted low temperature pre epitaxial cleaning for Si and SiGe surfaces
机译:
用于Si和SiGe表面的催化剂辅助低温预外延清洁
作者:
Sathish Kumar Dhayalan
;
Andriy Hikavyy
;
Roger Loo
;
Kurt Wostyn
;
Karine Kenis
;
Yosuke Shimura
;
Erik Rosseel
;
Harald Profijt
;
Jan Willem Maes
;
Bastien Douhard
;
Wilfried Vandervorst
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Pre-epi-clean;
Cool clean;
SiGe clean;
GeH_4/HCI clean;
catalyst assisted clean;
4.
HF-last wet clean in combination with a low temperature GeH_4-assisted HCI in-situ clean prior to Si_(0.8)Ge_(0.2)-on-Si epitaxial growth
机译:
在Si_(0.8)Ge_(0.2)-on-Si外延生长之前,先进行HF-最后湿法清洗并结合低温GeH_4辅助HCl原位清洗
作者:
Kurt Wostyn
;
Sathish K. Dhayalan
;
Andriy Hikavyy
;
Roger Loo
;
Bastien Douhard
;
Alain Moussa
;
Dirk Rondas
;
Karine Kenis
;
Paul W. Mertens
;
Frank Holsteyns
;
Stefan De Gendt
;
Harald B. Profijt
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
pre-epi clean;
HF last;
in-situ clean;
cool clean;
5.
Retardation Phenomenon of Oxide Removal during the Formation of Dual Gate Oxide via PR-mask Wet Etching
机译:
PR掩膜湿法刻蚀形成双栅氧化物时的迟滞现象
作者:
Kihvung Ko
;
Myung-Geun Song
;
Byung Kwon Cho
;
Bo Un Yoon
;
Yu Jin Cho
;
Tae Sung Kim
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Dual Gate oxide;
PR-mask wet etching;
oxide residue;
ozonated DIW treatment;
6.
Aluminum Reduction in SC1
机译:
SC1中的铝减少
作者:
Sasha J. Kweskin
;
Larry W. Shive
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
RCA;
SC1;
metal contamination;
ion exchange;
silica;
quartz;
7.
Metal removal efficiency in deep submicron trenches by wet chemicals
机译:
湿化学物质去除深亚微米沟槽中的金属的效率
作者:
Philippe Gamier
;
Nathalie Drogue
;
Romain Duru
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
metal removal efficiency;
deep trench;
photo luminescence;
surface photo voltage;
VPD ICPMS;
8.
Impact of surface treatment of Si_3N_4 on subsequent SiO_2 deposition
机译:
Si_3N_4的表面处理对后续SiO_2沉积的影响
作者:
Francesca Milanesi
;
Silvio Vendrame
;
Enrica Ravizza
;
Simona Spadoni
;
Francesco Pipia
;
Luisito Livellara
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
SiN;
wet cleanings;
SAUSG;
SiO2;
STI;
filling;
9.
Operation of a new electrolyzed cell using Boron Doped Diamond electrodes
机译:
使用掺硼金刚石电极的新型电解槽的运行
作者:
Dr. M. Fryda
;
Dr. Th. Mathee
;
Dr. J. Oshinowo
;
D. H. Baek
;
Dr. H.-J. Foerster
;
G. Heinze
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Electrolyzed water;
Cathode water;
Anode water;
Boron Doped Diamond cells;
Redox potential;
pH-value;
ESA;
SPM;
SC1;
SC2;
Ozonized water;
Hydrogenated water;
10.
InGaAs (110) surface cleaning using atomic hydrogen
机译:
使用原子氢的InGaAs(110)表面清洁
作者:
Tyler Kent
;
Mary Edmonds
;
Ravi Droopad
;
Andrew C. Kummel
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
InGaAs (110) surface;
atomic hydrogen;
native oxide removal;
scanning tunneling microscopy;
11.
Surface Chemistry of GaAs(100) and lnAs(100) Etching with Tartaric Acid
机译:
酒石酸刻蚀GaAs(100)和lnAs(100)的表面化学
作者:
Pablo Mancheno-Posso
;
Anthony J. Muscat
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Gallium arsenide;
indium arsenide;
etching;
tartaric acid;
hydrochloric acid;
hydrogen peroxide;
x-ray photoelectron spectroscopy;
temperature programmed desorption;
12.
Nanoscale etching and reoxidation of InAs
机译:
InAs的纳米级蚀刻和再氧化
作者:
D.H. van Dorp
;
S. Arnauts
;
D. Cuypers
;
J. Rip
;
F. Holsteyns
;
S. De Gendt
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
InAs;
etching;
reoxidation;
cleaning;
Ⅲ-V channel;
fin-FET;
13.
Passivation of lnSb(100) with 1-eicosanethiol self-assembled monolayers
机译:
1-二十烷硫醇自组装单层对lnSb(100)的钝化
作者:
Yissel Contreras
;
Anthony J. Muscat
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Indium antimonide;
chemical passivation;
self-assembled monolayer;
1-eicosanethiol;
x-ray photoelectron spectroscopy;
attenuated total reflection;
Fourier transform infrared spectroscopy;
14.
Cross-Contamination Risk Evaluation during Fabrication of Ⅲ-V Devices in a Silicon Processing Environment
机译:
硅加工环境中制造Ⅲ-V器件时的交叉污染风险评估
作者:
Marie-Christine Roure
;
Sylvain Vialle
;
Mickaeel Rebaud
;
Herve Fontaine
;
Pascal Besson
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
cross-contamination risk;
metallic contamination;
Ⅲ-V etching and cleaning;
Gallium arsenide;
Indium phosphide;
15.
Surface cleaning of graphene by CO_2 cluster
机译:
CO_2簇对石墨烯的表面清洁
作者:
Hoomi Choi
;
Jang Ah Kim
;
Yujin Cho
;
Taehyun Hwang
;
Jonwoo Lee
;
Taesung Kim
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Graphene;
Residue;
CO_2 cluster;
Cleaning;
Surface treatment;
16.
Process Control Challenges of Wet Etching Large MEMS Si Cavities
机译:
湿蚀刻大型MEMS Si腔的过程控制挑战
作者:
Ismail Kashkoush
;
Jennifer Rieker
;
Gim Chen
;
Dennis Nemeth
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
anisotropic silicon etching;
TMAH;
concentration control;
flow dynamics;
CFD;
MEMS;
17.
Wet etch rate behavior of poly-Si in TMAH solution at various ambient gas conditions
机译:
在各种环境气体条件下,TMAH溶液中多晶硅的湿蚀刻速率行为
作者:
Euing Lin
;
Ted Guo
;
C.C. Chien
;
M.H. Chang
;
Wesley Yu
;
N.H. Yang
;
J. F. Lin
;
J.Y. Wu
;
Kenneth M. Robb
;
Alessandro Baldaro
;
A.N. Other
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Selectivity etch;
TMAH;
18.
Advanced Monitoring of TMAH Solution
机译:
TMAH解决方案的高级监控
作者:
Jingjing Wang
;
Eugene Shalyt
;
Chuannan Bai
;
Guang Liang
;
Michael MacEwan
;
Vishal Parekh
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Photoresist development;
tetramethyl ammonium hydroxide;
TMAH;
carbonate;
surfactants;
process control;
Sigma etching;
19.
Effect of Dissolved Oxygen for Advanced Wet Processing
机译:
溶解氧对先进湿法处理的影响
作者:
Yukifumi Yoshida
;
Masayuki Otsuji
;
Hiroaki Takahashi
;
Jim Snow
;
Farid Sebaai
;
Holsteyns Frank
;
Paul W. Mertens
;
Masanobu Sato
;
Hajime Shirakawa
;
Hirofumi Uchida
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Dissolved Oxygen;
Galvanic Corrosion;
RMG;
Etching;
Ge;
20.
Watermark formation on bare silicon: Impact of illumination and substrate doping
机译:
裸硅上形成水印:照明和基板掺杂的影响
作者:
Amir-Hossein Tamaddon
;
Harold Philipsen
;
Paul W. Mertens
;
Frank Holsteyns
;
Marc Heyns
;
Stefan De Gendt
;
Dennis H. van Dorp
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Watermark;
silicon oxidation;
illumination;
doping density;
21.
Selective Nitride Etching With Phosphoric and Sulfuric Acid Mixtures Using a Single-Wafer Wet Processor
机译:
使用单晶片湿处理器,用磷酸和硫酸混合物进行选择性氮化蚀刻
作者:
Vincent Sih
;
Berthold Reimer
;
Anthony S. Ratkovich
;
Jeffrey M. Lauerhaas
;
Jeffery W. Butterbaugh
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
selective etching;
nitride etching;
spacer etching;
single wafer tool;
22.
Single wafer selective silicon nitride removal with phosphoric acid and steam
机译:
用磷酸和蒸汽去除单晶片选择性氮化硅
作者:
Ted Guo
;
Wesley Yu
;
C.C. Chien
;
Euing Lin
;
N.H. Yang
;
J.F. Lin
;
J.Y. Wu
;
Anthony Ratkovich
;
Don Kahaian
;
Jeffery W. Butterbaugh
;
Jeffrey M. Lauerhaas
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Silicon Nitride;
Selectivity;
Steam;
Single Wafer;
Reclaim;
23.
Pt Etching Method At Low Temperature Using Electrolyzed Sulfuric Acid Solution
机译:
电解硫酸溶液的低温铂蚀刻方法
作者:
Yuichi Ogawa
;
Minoru Uchida
;
Toru Otsu
;
Tatsuo Nagai
;
Hiroshi Morita
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
electrolyzed sulfuric acid;
NiPt etching;
24.
Nickel selective etch for contacts on Ge based devices
机译:
锗基器件上触点的镍选择性蚀刻
作者:
Farid Sebaai
;
Liesbeth Witters
;
Frank Holsteyns
;
Yoshida Yukifumi
;
Paul W. Mertens
;
Stefan De Gendt
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Selective etch;
Ge voids;
hot HCI;
low O_2 conditions;
NiGe loss reduction;
25.
Study of wetting of nanostructures using decoration by etching
机译:
利用蚀刻装饰润湿纳米结构的研究
作者:
Guy Vereecke
;
XiuMei Xu
;
Els Kesters
;
Ishan Simms
;
Kathleen Nafus
;
Leander Haensel
;
Tae-Gon Kim
;
Mark Lismont
;
Frank Holsteyns
;
Stefan De Gendt
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
wetting;
nanostructure;
ellipsometry;
26.
Impact of electrostatic effects on wet etching phenomenon in nanoscale region
机译:
静电效应对纳米级湿法刻蚀现象的影响
作者:
Atsushi Okuyama
;
Suguru Saito
;
Yoshiya Hagimoto
;
Kenji Nishi
;
Ayuta Suzuki
;
Takayuki Toshima
;
Hayato Iwamoto
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
wet etching;
nanoscale region;
dHF (dilute hydrofluoric acid solution);
electric double layer;
solid-liquid interface;
27.
Freeze drying of high aspect ratio structures
机译:
高纵横比结构的冷冻干燥
作者:
Mehul N. Patel
;
Steve Sirard
;
Ratchana Limary
;
Diane Hymes
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Freeze drying;
wet clean;
drying;
high aspect ratio;
collapse;
stiction;
DRAM;
28.
Effect of Dl-water dilution and etchant arm movement on spinning type wet etch
机译:
去离子水稀释和蚀刻剂臂移动对旋转式湿法蚀刻的影响
作者:
Huihwan Lee
;
Dukmin Ahn
;
Seungtaek Lim
;
Taesung Kim
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Dl-water dilution;
Etchant arm movement;
Etch amount;
Uniformity;
29.
Effect of nozzle distance and fluid flow rate in jet spray wafer cleaning process
机译:
喷嘴距离和流体流速在喷射喷涂晶片清洗过程中的影响
作者:
Kwangmin Seo
;
Daeheon Kim
;
Yujin Cho
;
Taesung Kim
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Jet spray;
Particle removal efficiency;
30.
Effects of chamber pressure on the performance of CO_2 beam cleaning
机译:
腔室压力对CO_2束清洗性能的影响
作者:
Seungho Kim
;
Joongha Lee
;
Ho-Young Kim
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Dry cleaning;
Carbon dioxide solid particles;
Semiconductor cleaning;
31.
Physical chemistry of water droplets in wafer cleaning with low water use
机译:
低耗水晶圆清洗中水滴的物理化学
作者:
Jacques C.J. van der Donck
;
Jurrian Bakker
;
Jeroen A. Smeltink
;
Robin B.J. Kolderweij
;
Ben C.M.B. van der Zon
;
Marc H. van Kleef
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Cleaning;
Low UPW use;
droplet manipulation;
contact angle;
32.
Metal etch in advanced immersion tank with precision uniformity using agitation and wafer rotation
机译:
通过搅拌和晶圆旋转在先进的浸没槽中进行金属蚀刻,具有精确的均匀性
作者:
Scott Tice
;
Chan Geun Park
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
metal etch;
gold etch;
copper etch;
within wafer (WIW) etch uniformity;
wafer to wafer (WTW) etch uniformity;
bubbled N_2;
wafer rotation;
immersion tank;
33.
Novel Slurry Injection System for Improved Slurry Flow and Reduced Defects in CMP
机译:
新型浆料注入系统可改善CMP中的浆料流量并减少缺陷
作者:
Ara Philipossian
;
Len Borucki
;
Yasa Sampurno
;
Yun Zhuang
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
CMP;
slurry injection system;
COO;
pad debris;
foam;
slurry flow;
wafer-level defects;
34.
Effect of viscoelasticity of PVA brush on friction during post- CMP cleaning: A guideline for nodule configuration
机译:
CMP后清洁过程中PVA刷的粘弹性对摩擦的影响:结节配置指南
作者:
Y. Hara
;
T. Sanada
;
A. Fukunaga
;
H. Hiyama
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Post-CMP Cleaning;
PVA Brush;
Friction;
Viscoelasticity;
Nodule;
35.
Understanding the formation of circular ring defects in post-CMP cleaning
机译:
了解CMP后清洁中圆环缺陷的形成
作者:
Ping Hsu
;
Roger Luo
;
Pascal Berar
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
PCMP;
ring defects;
pH variation;
particle aggregation;
36.
Particle Measurement with a Liquid-borne Particle Counter: Analytical Figures of Merit
机译:
液载粒子计数器进行粒子测量:优点分析图
作者:
Shi Liu
;
Bin Liu
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Particles measurement;
liquid-borne particle counter;
micro-contamination;
LPC;
37.
Fluid flow and defect density considerations when drying bumped wafers using spin and surface tension gradient methods
机译:
使用旋转和表面张力梯度法干燥凸点晶片时的流体流动和缺陷密度注意事项
作者:
Daniel L. Goodman
;
Dustin Rabideau
;
Mani Sobhian
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Advanced packaging;
bumped wafers;
copper pillar;
defect density;
SRD;
surface tension gradient;
microfluidic channels;
resist strip;
wafer drying;
38.
Characterization of Cavitation in Ultrasonic or Megasonic Irradiated Gas Saturated Solutions Using a Hydrophone
机译:
使用水听器表征超声或超音速辐射气体饱和溶液中的空化
作者:
M. Zhao
;
R. Balachandran
;
P. R. Madigappu
;
P. Yam
;
C. Zanelli
;
R. Sierra
;
M. Keswani
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
hydrophone;
ultrasonic;
megasonic;
wafer cleaning;
cavitation;
feature damage;
39.
Detection of HO_2·/O_2·~- Radicals Formed in Aqueous Solutions Irradiated with Megasonic Waves using a Cavitation Threshold (CT) Cell Set-up
机译:
使用空化阈值(CT)单元检测在超声波照射下的水溶液中形成的HO_2·/ O_2·〜-自由基
作者:
Zhenxing Han
;
Bing Wu
;
Ian Brown
;
Mark Beck
;
Srini Raghavan
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Megasonics;
Hydroperoxyl Radicals;
CT cell;
MCLA;
Chemiluminescence (CL);
40.
Photo lithography - Surface preparation interactions
机译:
光刻-表面处理相互作用
作者:
Philippe Gamier
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
photo lithography;
high dose implantation resist strip;
photoresist adhesion;
AFM;
micro masking;
watermarks;
wafer charging;
41.
Study of etchants' diffusion into a 248 nm Deep UV photoresist during a wet etch
机译:
研究湿蚀刻过程中蚀刻剂向248 nm深紫外光致抗蚀剂的扩散
作者:
Mathieu Foucaud
;
Nevine Rochat
;
Philippe Garnier
;
Erwine Pargon
;
Raluca Tiron
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Multiple Internal Reflection infrared spectroscopy;
wet etching;
photoresist adhesion;
X-Ray Reflectometry;
diffusion in polymers;
42.
Megasonic Enhanced Photoresist Strip with DiO_3
机译:
具有DiO_3的Megasonic增强型光刻胶条
作者:
Don Dussault
;
Jens Fittkau
;
Christiane Gottschalk
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
dissolved ozone;
Megasonic;
photoresist stripping;
cavitation;
DiO_3;
43.
Wetting behavior of plasma etch residue removal solutions on plasma damaged and repaired porous ULK dielectrics
机译:
等离子蚀刻残留去除溶液在等离子受损和修复的多孔ULK电介质上的润湿行为
作者:
Nicole Ahner
;
Sven Zimmermann
;
Nicole Koehler
;
Stephan Krueger
;
Stefan E. Schulz
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
low-k dielectric;
wet cleaning;
residue removal;
plasma damage;
silylation;
wetting;
surface energy;
44.
Effect of downstream plasma treatment on dissolution of fluorocarbon polymer in organic solvents
机译:
下游等离子体处理对碳氟聚合物在有机溶剂中溶解的影响
作者:
Q. T. Le
;
E. Kesters
;
J. Devonport
;
F. Holsteyns
;
S. De Gendt
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Post-etch residue removal;
downstream plasma treatment;
fluorocarbon polymer;
ellipsometric porosimetry;
porous low-k dielectrics;
45.
Post etch residue removal and material compatibility in BEOL using formulated chemistries.
机译:
使用配制的化学物质在BEOL中去除蚀刻后残留物并实现材料兼容性。
作者:
E.Kesters
;
Q. T. Le
;
D. Yu
;
M. Shen
;
S. Braun
;
A. Klipp
;
F. Holsteyns
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
SADP;
post etch residues;
BEOL;
aqueous chemistries;
selectivity;
46.
Characterization of Cu-BTA organic complexes on Cu during Cu CMP and post Cu cleaning
机译:
Cu CMP过程中和清洗后的Cu上Cu-BTA有机配合物的表征
作者:
Byoung-Jun Cho
;
Jin-Goo Park
;
Shohei Shima
;
Satomi Hamada
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Cu-BTA complex;
cupric cuprous oxide;
contact angle;
electrochemical impedance spectroscopy;
47.
TiN Hard Mask Cleans with SC1 solutions, for 64nm pitch BEOL patterning
机译:
TiN硬掩模用SC1解决方案清洗,用于64nm间距BEOL图案
作者:
L. Broussous
;
D.Krejcirova
;
K.Courouble
;
S.Zoll
;
A.lwasaki
;
H.lshikawa
;
F.Buisine
;
A.Lamaury
;
D.Fuard
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
TiN Metal Hard Mask;
dual patterning;
SC1 wet clean;
48.
Industrial Challenges of TiN Hard Mask Wet removal process for 14nm technology node
机译:
用于14nm技术节点的TiN硬掩模湿法去除工艺的工业挑战
作者:
Akihisa lwasaki
;
Kristell Courouble
;
Steven Lippy
;
Fabrice Buisine
;
Hidekazu Ishikawa
;
Emanuel Cooper
;
Evelyn Kennedy
;
Stephane Zoll
;
Lucile Broussous
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
TiN hard mask;
Wet removal;
Low-cost;
Bath life;
Cu loss;
TiCOF crystal growth;
Cu filling;
49.
TiN metal hardmask residue removal formulation development for advanced porous low-k and Cu interconnect application
机译:
用于先进的多孔低k和Cu互连应用的TiN金属硬掩模残留物去除配方开发
作者:
Hua Cui
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Metal hardmask;
etch residues removals;
sidewall polymer;
TiN etch;
Cu/low-k;
50.
Prevention of unexpected oxidation of metal layer by removing hydrogen peroxide from ultrapure water and diluted hydrofluoric acid
机译:
通过从超纯水和稀氢氟酸中去除过氧化氢来防止金属层意外氧化
作者:
Daisaku Yano
;
Masami Murayama
;
Hikaru Kobayashi
;
Koji Yamanaka
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
ultrapure water;
dilute hydrofluoric acid;
hydrogen peroxide;
surface conditioning;
copper;
molybdenum;
silicon;
51.
Cost-of-ownership comparison of single-wafer processes for stripping copper pillar bump photomasks
机译:
剥离铜柱凸点光掩模的单晶圆工艺的拥有成本比较
作者:
Hongseong Sohn
;
Tan Kuam Hua
;
Ding Liang
;
Steven Lee Hou Jang
;
Loh Woon Leng
;
Lin Huamao
;
John Tracy
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Photoresist stripping;
chemically amplified photoresist;
negative tone photoresist;
megasonics;
single-wafer;
bumping process;
copper pillar;
micro-bump;
cost-of-ownership;
52.
The role of mass transfer in removal of cross-linked sacrificial layers in 3DI applications
机译:
传质在3DI应用中去除交联牺牲层的作用
作者:
Mani Sobhian
;
Daniel L. Goodman
;
Dustin Rabideau
;
Arthur Keigler
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Negative Resist Strip;
Advanced Packaging;
Resist Removal;
Mass Transfer;
Boundary Layer;
Polymer Solvation;
53.
Scaling the 3D bumps pitch from 20 to 10 μm, focusing on the wet Cu seed etch process development
机译:
将3D凸块的间距从20微米扩展到10微米,重点放在湿法铜种子蚀刻工艺开发上
作者:
S. Suhard
;
A. Moussa
;
J. Slakkeboorn
;
F. Beirnaert
;
I de Preter
;
F. Holsteyns
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
3D-SIC;
microbumps;
Cu seed etch;
Cu roughness;
54.
Adhesion Improvement through Plasma Surface Treatments on Palladium Surface
机译:
通过等离子表面处理在钯表面上改善附着力
作者:
Ivan Venegoni
;
Matteo Consonni
;
Irene Bianchi
;
Enrica Ravizza
;
Salvatore Grasso
;
Simona Spadoni
;
Mario Pistoni
;
Paolo Colpani
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
surface;
palladium;
plasma;
treatment;
adhesion;
passivation;
oxidation;
reduction;
55.
Control of HF volatile contamination in FOUP environment by advanced polymers and clean gas purge
机译:
通过先进的聚合物和清洁气体吹扫控制FOUP环境中的HF挥发性污染物
作者:
Paola Gonzalez-Aguirre
;
Herve Fontaine
;
Carlos Beitia
;
Jim Ohlsen
;
Jorgen Lundgren
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
AMC;
cross-contamination;
HF;
polymer materials;
volatile acids;
56.
FOUP material influence on HF contamination during queue-time
机译:
排队期间FOUP材料对HF污染的影响
作者:
Julien Bounouar
;
Thi-Quynh Nguyen
;
Olivier Le-Barillec
;
Arnaud Favre
;
Emmanuelle Veran
;
Ingo Stassen
;
Astrid Gettel
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
AMC;
HF;
FOUP;
contamination;
cleaning;
low absorbing material;
monitoring;
queue-time;
outgassing;
acid;
desorption;
adsorption;
57.
Effect of FOUP atmosphere control on process wafer integrity in sub20 nm device fabrication
机译:
FOUP气氛控制对低于20 nm器件制造中工艺晶圆完整性的影响
作者:
Bong Ho Kim
;
Jin-Goo Park
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
N_2 Purge;
Process queue;
FOUP;
Corrosion;
58.
Correlation of cleaning conditions and wafer out-gassing
机译:
清洁条件和晶圆除气的相关性
作者:
Sok-Hyung Han
;
Tae-Ho Hwang
;
Si-Chul Kim
;
Seung-Ha Park
;
Byung-Sul Ryu
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Poly deposition thickness;
NH_3~+ ion;
BOE(Buffered Oxide Etchant);
APM(Ammonium Peroxide Mixture);
Out-gassing;
59.
Quantitative analysis of transition metals penetrating silicon substrate through SiN film by dopant ion implantation and annealing
机译:
掺杂离子注入和退火对过渡金属穿过SiN膜穿透硅衬底的定量分析
作者:
Koichiro Saga
;
Shunsuke Kobayashi
;
Koji Sueoka
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Metal contamination;
Ion implantation;
SiN film;
Diffusion;
60.
Collection efficiency of noble metallic contaminants on Si wafers with HF-Aqua Regia mixtures for VPD-DC ICPMS analysis
机译:
HF-Aqua Regia混合物对硅晶片上贵金属污染物的收集效率,用于VPD-DC ICPMS分析
作者:
M. Devita
;
H. Fontaine
;
N. Drogue
;
D. Mathiot
;
V. Enyedi
;
T. Lardin
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
VPD-DC-ICPMS;
Noble metallic contamination;
Outplated and ionic form of contaminants;
VPD-DC collection efficiency;
61.
Backside and bevel contamination removal
机译:
去除背面和斜面污染物
作者:
Pascal Besson
;
Marie-Christine Roure
;
Riadh Kachtouli
;
Marine Jourdan
;
Laurence Gabette
;
Agnes Royer
;
Virginie Loup
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
cross-contamination risk;
metallic contamination;
62.
Focus spot reduction by brush scrubber cleaning
机译:
通过刷子洗涤器清洁减少焦点
作者:
Antoine Pacco
;
Els Kesters
;
Ihsan Simms
;
Kathleen Nafus
;
Jelle Vandereyken
;
Hiroki Yonekawa
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Scrubber;
Brush;
Backside Cleaning;
Backside Contamination;
Focus Spots;
Chuck Spots;
Hot Spots;
Overlay;
Immersion;
EUV;
63.
Upside-down residual sessile droplet: watermarks on wafers backside
机译:
倒置的残留无蒂液滴:晶圆背面的水印
作者:
N.Belmiloud
;
E.Schaffert
;
R.Steininger
;
K.Okamoto
;
M.Grothe
;
G.Koerner
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
backside;
particles;
watermarks;
drying;
single-wafer;
ozone;
64.
Nuclear magnetic resonance spectroscopy of trace organic impurities extracted from a corrosion inhibitor and a semiaqueous residue remover.
机译:
从腐蚀抑制剂和半水残留去除剂中提取的痕量有机杂质的核磁共振波谱。
作者:
Glenn Westwood
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Impurity;
extraction;
alkane;
clean;
65.
Improvement of silicon solar cell substrates by wet-chemical oxidation studied by surface photovoltage measurements
机译:
通过表面光电压测量研究湿化学氧化对硅太阳能电池基板的改进
作者:
Heike Angermann
;
Abdelazize Laades
;
Jan Kegel
;
Carola Klimm
;
Bert Stegemann
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Si surface passivation;
wet-chemical oxidation;
SPV;
Si solar cell substrates;
66.
Simplified Cleaning for a-Si:H passivation of wafers bonded to glass
机译:
简化清洁粘结到玻璃的晶圆的a-Si:H钝化的过程
作者:
Stefano N. Granata
;
T. Bearda
;
I.Gordon
;
Y. Abdulraheem
;
R. Mertens
;
J. Poortmans
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
Surface Passivation;
module-level processing;
silicone;
67.
Investigation of silicon saw damage removal and surface texturing using KOH for next generation silicon solar cells
机译:
使用KOH的下一代硅太阳能电池的硅锯片损伤消除和表面纹理化研究
作者:
Michael Haslinger
;
Jens Rip
;
Sofie Robert
;
Martine Claes
;
Filip Duerinckx
;
Maarten Debucquoy
;
Paul Mertens
;
Joachim John
;
Damian Pysch
;
Steffen Queisser
;
Juergen Schweckendiek
;
Ali Hajjiad
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
silicon etching;
saw damage removal;
silicon surface texturing;
TXRF;
minority carrier lifetime;
emitter saturation current;
68.
Impact of Fe and Cu surface contamination on high efficiency solar cell processes
机译:
铁和铜表面污染对高效太阳能电池工艺的影响
作者:
Florian Buchholz
;
Eckard Wefringhaus
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
solar cells processing;
p-type;
n-type;
Fe and Cu;
surface contamination;
diffusion processes;
passivation processes;
lifetime studies;
69.
Ozone base cleaning: Impact on high efficiency Interdigitated Back Contact solar cells
机译:
臭氧层清洁:对高效的交叉指背接触太阳能电池的影响
作者:
BJ OSullivan
;
M Haslinger
;
S Singh
;
T Bearda
;
M Debucquoy
;
J Szlufcik
会议名称:
《》
|
2015年
关键词:
high efficiency solar cell;
cleaning;
ozone;
chemical oxide;
70.
Rapid determination of organic contaminations on wafer surfaces
机译:
快速测定晶片表面的有机污染物
作者:
Sylke Meyer
;
Sebastian Timmel
;
Christian Hagendorf
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
organic contaminations;
total organic carbon (TOC);
surface energy;
contact angle;
71.
Surface cleaning and passivation of chalcogenide thin films using S(NH_4)_2 chemical treatment
机译:
S(NH_4)_2化学处理硫属化物薄膜的表面清洁和钝化
作者:
Marie Buffiere
;
Abdel-Aziz El Mel
;
Nick Lenaers
;
Guy Brammertz
;
Armin E. Zaghi
;
Marc Meuris
;
Jef Poortmans
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
关键词:
thin film solar cells;
CIGS;
CZTS;
secondary phases;
chemical treatment;
etching;
passivation;
72.
Preface
机译:
前言
作者:
Paul Mertens
会议名称:
《International symposium on ultra clean processing of semiconductor surfaces》
|
2015年
意见反馈
回到顶部
回到首页