掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Euromicro Conference on Digital System Design
Euromicro Conference on Digital System Design
召开年:
2013
召开地:
Santander(ES)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Multiobjective Optimization of Cost, Performance and Thermal Reliability in 3DICs
机译:
三维仪中的成本,性能和热可靠性多目标优化
作者:
Kashfi Fatemeh
;
Draper Jeff
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
2.
Transient Fault Tolerant QDI Interconnects Using Redundant Check Code
机译:
瞬态容错QDI使用冗余校验码互连
作者:
Zhang Guangda
;
Song Wei
;
Garside Jim D.
;
Navaridas Javier
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
asynchronous interconnects;
fault tolerance;
quasi-delay-insensitive circuits;
transient faults;
3.
EU FP7-288307 Pharaon Project: Parallel and Heterogeneous Architecture for Real-Time Applications
机译:
欧盟FP7-288307 Pharaon项目:实时应用的并行和异构架构
作者:
Posadas Hector
;
Villar Eugenio
;
Broekaert Florian
;
Bourdelles Michel
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
OpenMP;
UML;
low-power;
multiprocessor;
parallelisation;
profiling;
ressource management;
4.
Area-Time Efficient Self-Checking ALU Based on Scalable Error Detection Coding
机译:
基于可伸缩误差检测编码的区域时间高效自检ALU
作者:
Siddiqui Zahid Ali
;
Hui-Jong Park
;
Lee Jeong-A
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Scalable Error Detection Codes;
error detection;
self-checking ALU;
unidirectional error;
5.
A Testbed for Evaluating LTE in High-Speed Trains
机译:
用于评估高速列车中LTE的试验台
作者:
Rodriguez-Pineiro Jose
;
Garcia-Naya Jose A.
;
Carro-Lagoa Angel
;
Castedo Luis
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
LTE;
measurement campaigns;
railway;
testbed;
6.
Coarse-Grain Optimization and Code Generation for Embedded Multicore Systems
机译:
嵌入式多核系统的粗晶优化和代码生成
作者:
Goulas George
;
Valouxis Christos
;
Alefragis Panayiotis
;
Voros Nikolaos S.
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Coarse-Grain Optimization;
Parallel Code Generation;
7.
Simulation and SAT Based ATPG for Compressed Test Generation
机译:
基于SAT基于压缩试验的ATPG
作者:
Balcarek J.
;
Fier P.
;
Schmidt J.
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
ATPG;
RESPIN;
embedded cores;
satisfiability;
symbolic simulation;
test compression;
8.
Energy Consumption Modeling of H.264/AVC Video Decoding for GPP and DSP
机译:
用于GPP和DSP的H.264 / AVC视频解码的能耗建模
作者:
Benmoussa Yahia
;
Boukhobza Jalil
;
Senn Eric
;
Benazzouz Djamel
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
DSP;
DVFS;
Energy consumption;
GPP;
H.264/AVC;
Performance;
modeling;
video decoding;
9.
Stopping-Free Dynamic Configuration of a Multi-ASIP Turbo Decoder
机译:
停止无索引涡轮解码器的无动态配置
作者:
Lapotre Vianney
;
Murugappa Purushotham
;
Gogniat Guy
;
Baghdadi Amer
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
ASIP;
Dynamic configuration;
Turbo decoder;
Wireless communications;
10.
A Resource Manager for Dynamically Reconfigurable FPGA-Based Embedded Systems
机译:
用于动态可重新配置的基于FPGA的嵌入式系统的资源管理器
作者:
Cervero T.
;
Dondo J.
;
Gomez A.
;
Pena X.
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Dynamic reconfiguration;
FPGA;
hierarchical reconfigurable region;
reconfiguration engine;
scalable design;
scheduling;
11.
Morphone.OS: Context-Awareness in Everyday Life
机译:
吗啡:日常生活中的语境意识
作者:
Nacci A.A.
;
Mazzucchelli M.
;
Maggio M.
;
Bonetto A.
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
12.
Instruction Selection and Scheduling for DSP Kernels on Custom Architectures
机译:
定制体系结构中DSP内核的指令选择和调度
作者:
Arslan Mehmet Ali
;
Kuchcinski Krzysztof
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Instruction selection;
constraint programming;
custom architectures;
dsp;
instruction scheduling;
pattern matching;
resource allocation;
13.
Methodology for Fault Tolerant System Design Based on FPGA into Limited Redundant Area
机译:
基于FPGA进入有限冗余区域的容错系统设计方法
作者:
Miculka Lukas
;
Straka Martin
;
Kotasek Zdenek
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
14.
LiChEn: Automated Electrical Characterization of Asynchronous Standard Cell Libraries
机译:
地衣:异步标准单元库的自动电气表征
作者:
Moreira Matheus Trevisan
;
Oliveira Carlos Henrique Menezes
;
Calazans Ney Laert Vilar
;
Ost Luciano Copello
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Asynchronous circuits;
C-elements;
design automation;
electrical characterization;
standard cell;
15.
Low Complexity Background Subtraction for Wireless Vision Sensor Node
机译:
无线视觉传感器节点的低复杂性背景减法
作者:
Imran Muhammad
;
Ahmad Naeem
;
Khursheed Khursheed
;
ONils Mattias
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Smart camera;
background subtraction;
low complexity;
wireless vision sensor node;
16.
parMERASA -- Multi-core Execution of Parallelised Hard Real-Time Applications Supporting Analysability
机译:
Parmerasa - 支持分析的并行硬实时应用的多核执行
作者:
Ungerer T.
;
Bradatsch C.
;
Gerdes M.
;
Kluge F.
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
automotive;
avionics;
construction machinery;
hard real-time;
many-core;
multi-core;
parallel;
wcet;
17.
Monitoring-Aware Virtual Platform Prototype of Heterogeneous NoC-Based Multicore SoCs
机译:
监控基于NOC的多机械集的虚拟平台原型
作者:
Grammatikakis Miltos D.
;
Papagrigoriou Antonis
;
Petrakis Polydoros
;
Kornaros George
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
SystemC;
load balancing;
multicore SoC;
network-on-chip;
scientific applications;
shared memory;
system-level design;
system-on-chip;
virtual platform;
18.
FPGA Design of an Open-Loop True Random Number Generator
机译:
FPGA设计开环真随机数发生器
作者:
Lozach Florent
;
Ben-Romdhane Molka
;
Graba Tarik
;
Danger Jean-Luc
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
FPGA;
LUT;
Pamp;
amp;
amp;
R constraints;
TRNG;
delay chain;
metastability;
19.
pCache: An Observable L1 Data Cache Model for FPGA Prototyping of Embedded Systems
机译:
PCACH:用于嵌入式系统的FPGA原型设计的可观察L1数据缓存模型
作者:
Ravishankar Parthasarathy
;
Abdi Samar
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
FPGA prototyping;
cache modeling;
embedded systems;
emulation;
validation;
20.
Emulation-Based Fault Effect Analysis for Resource Constrained, Secure, and Dependable Systems
机译:
资源受限,安全和可靠系统的基于仿真的故障效果分析
作者:
Druml Norbert
;
Menghin Manuel
;
Kroisleitner Daniel
;
Steger Christian
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Estimation-based Techniques;
Fault Emulation;
Hardware Emulation;
Power Analysis;
21.
Parallel Deadlock Detection and Recovery for Networks-on-Chip Dedicated to Diffused Computations
机译:
对芯片网络专用于扩散计算的平行死锁检测和恢复
作者:
Bomel Pierre
;
Sevaux Marc
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
FPGA;
System-on-chip;
deadlock detection;
edge-chasing;
network-on-chip;
probe computation;
progressive recovery;
reconfigurable computing;
22.
PtNBridge -- A Power-Aware and Trustworthy Near Field Communication Bridge to Embedded Systems
机译:
Ptnbridge - 一种靠近现场通信桥的动力感知和值得信赖的系统
作者:
Menghin Manuel
;
Druml Norbert
;
Fioriello Manuel Trebo
;
Steger Christian
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
RFID;
embedded system;
energy management;
security;
23.
Interaction of NoC Design and Coherence Protocol in 3D-Stacked CMPs
机译:
NOC设计和相干协议在3D堆叠CMPS中的互动
作者:
Abad Pablo
;
Prieto Pablo
;
Menezo Lucia G.
;
Colaso Adrian
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Cache Coherence;
Chip Multiprocessor;
Network on Chip;
Routing;
24.
A General Framework for Average-Case Performance Analysis of Shared Resources
机译:
共享资源平均案例分析的一般框架
作者:
Foroutan Sahar
;
Akesson Benny
;
Goossens Kees
;
Petrot Frederic
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
arbitration;
mpsoc;
performance analysis;
queuing theory;
resource sharing;
25.
An Effective Routing Algorithm to Avoid Unnecessary Link Abandon in 2D Mesh NoCs
机译:
一种有效的路由算法,以避免在2D网格NOC中放弃不必要的链接
作者:
Chen Changlin
;
Cotofana Sorin D.
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Fault tolerant;
Networks-on-Chip;
Routing algorithm;
Unidirectional links;
26.
Design Tradeoffs of Long Links in Hierarchical Tiled Networks-on-Chip
机译:
层次瓷砖网络中长链接的设计权衡
作者:
Manevich Ran
;
Polishuk Leon
;
Cidon Israel
;
Kolodny Avinoam
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Global interconnect;
NoCs;
hierarchical networks on chip;
long links design tradeoffs;
27.
Router Designs for an Asynchronous Time-Division-Multiplexed Network-on-Chip
机译:
路由器设计用于异步时分复用网络的片上
作者:
Kasapaki Evangelia
;
Sparso Jens
;
Sorensen Rasmus Bo
;
Goossens Kees
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
asynchronous design;
network-on-chip;
real-time systems;
28.
A Novel Intra Prediction Architecture for the Hardware HEVC Encoder
机译:
硬件HEVC编码器的新型预测架构
作者:
Abramowski Andrzej
;
Pastuszak Grzegorz
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Architecture Design;
FPGA;
HEVC;
Intra;
Prediction;
VLSI;
Video Coding;
29.
Automatic Controller Detection for Large Scale RTL Designs
机译:
用于大型RTL设计的自动控制器检测
作者:
Song Wei
;
Garside Jim
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
detection;
finite state machine;
register transfer level;
30.
A Distributed BIST Scheme for NoC-Based Memory Cores
机译:
基于NOC的内存核心的分布式BIST方案
作者:
Ghoshal Bibhas
;
Sengupta Indranil
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Distributed;
Hybrid;
MBIST;
NoC;
31.
Component-Level Datapath Merging in System-Level Design of Wireless Sensor Node Controllers for FPGA-Based Implementations
机译:
用于基于FPGA的实现的无线传感器节点控制器的系统级设计中的组件级数据路径合并
作者:
Pasha Muhammad Adeel
;
Derrien Steven
;
Sentieys Olivier
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
EDA Tool;
FPGA;
High Level Synthesis;
Ultra Low-Power Implementation;
WSN;
32.
Electromagnetic Analysis on RSA Algorithm Based on RNS
机译:
基于RNS的RSA算法电磁分析
作者:
Perin Guilherme
;
Imbert Laurent
;
Torres Lionel
;
Maurine Philippe
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Collision Attacks;
Correlation Electromagnetic Analysis;
Montgomery Exponentiation;
RSA;
Residue Number System;
33.
Error Correction of Transient Errors in a Sum-Bit Duplicated Adder by Error Detection
机译:
通过错误检测纠正SUM位复制加法器中的瞬态误差
作者:
Weidling Stefan
;
Sogomonyan Egor S.
;
Goessel Michael
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
clock gating;
code-disjoint partial duplication;
concurrent error detection;
fault tolerance;
transient error;
34.
AUTO-GS: Self-Optimization of NoC Traffic through Hardware Managed Virtual Connections
机译:
Auto-GS:通过硬件托管虚拟连接自我优化NoC流量
作者:
Zaib Aurang
;
Heisswolf Jan
;
Weichslgartner Andreas
;
Wild Thomas
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
35.
The TERAFLUX Project: Exploiting the DataFlow Paradigm in Next Generation Teradevices
机译:
Teraflux项目:利用下一代TeradoDevices中的数据流范例
作者:
Solinas Marco
;
Badia Rosa M.
;
Bodin Francois
;
Cohen Albert
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
architecture;
compilation;
dataflow;
exascale computing;
many-cores;
multi-cores;
programming model;
reliability;
simulation;
36.
Power and Variability Improvement of an Asynchronous Router Using Stacking and Dual-Vth Approaches
机译:
使用堆叠和双VTH方法的异步路由器的功率和可变性改进
作者:
Mirzaei Mohammad
;
Mosaffa Mahdi
;
Mohammadi Siamak
;
Trajkovic Jelena
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Dual-Vth;
low power;
process and environment variation;
router;
stacking;
37.
Passivity-Based Control over Differentiated-Services Packet Networks
机译:
基于控制的差异化服务包网络的控制
作者:
Lorenzi Giovanni
;
Quaglia Davide
;
Muradore Riccardo
;
Fiorini Paolo
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Differentiated Services;
Networked Control Systems;
Out-of-Sequence Measurements;
Passivity;
38.
UML-Based Modeling and Simulation of Environmental Effects in Networked Embedded Systems
机译:
基于UML的网络嵌入式系统环境效应的建模与仿真
作者:
Ebeid E.
;
Fummi F.
;
Quaglia D.
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Bit Error Rate;
Delay;
Environmental Modeling;
Latency;
Network Quality of Service;
Networked Embedded Systems;
Simulation;
SystemC;
Throughput;
UML;
39.
An Efficient Hardware Implementation of a SAT Problem Solver on FPGA
机译:
FPGA上SAT问题求解器的有效硬件实现
作者:
Ivan Teodor
;
Aboulhamid El Mostapha
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
FPGA application-specific circuits;
SAT parallelization;
fine-grained reconfigurable architecture;
40.
Generation of Structural VHDL Code with Library Components from Formal Event-B Models
机译:
使用正式事件-B型号的库组件生成结构VHDL码
作者:
Ostroumov Sergey
;
Tsiopoulos Leonidas
;
Sere Kaisa
;
Plosila Juha
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Event-B;
automated refinement;
code generation;
design flow;
formal methods;
library components;
structural VHDL;
41.
Run-Time Slack Distribution for Real-Time Data-Flow Applications on Embedded MPSoC
机译:
嵌入式MPSOC实时数据流应用的运行时稀释分布
作者:
Zaykov Pavel G.
;
Kuzmanov Georgi
;
Molnos Anca M.
;
Goossens Kees
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Inter-tile Slack Framework;
MPSoC;
RTOS;
Timestamps;
42.
Effective Online Power Management with Adaptive Interplay of DVS and DPM for Embedded Real-Time System
机译:
有效的在线电源管理,具有DVS和DPM的自适应相互作用,用于嵌入式实时系统
作者:
Chen Gang
;
Huang Kai
;
Huang Jia
;
Buckl Christian
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
DPM;
DVS;
Real-time System;
43.
Power and Thermal Fault Effect Exploration Framework for Reader/Smart Card Designs
机译:
读卡器/智能卡设计的电源和热故障效果探索框架
作者:
Druml Norbert
;
Menghin Manuel
;
Rauter Tobias
;
Steger Christian
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Fault Effect Simulation;
Power Simulation;
Smart Card;
Thermal Simulation;
44.
Incorporating Energy and Throughput Awareness in Design Space Exploration and Run-Time Mapping for Heterogeneous MPSoCs
机译:
在设计空间探索和运行时映射中的能量和吞吐量意识,为异构MPSOC
作者:
Khanh Pham Nam
;
Singh Amit Kumar
;
Kumar Akash
;
Aung Khin Mi Mi
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
design space exploration;
heterogeneous MPSoC;
mapping algorithm;
45.
Industrial Application of IEEE P1687 for an Automotive Product
机译:
IEEE P1687为汽车产品的工业应用
作者:
Keim Martin
;
Waayers Tom
;
Morren Richard
;
Hapke Friedrich
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
IEEE P1687;
IJTAG;
IP Test;
Mixed-Signal Test;
46.
Efficient Construction of Global Time in SoCs Despite Arbitrary Faults
机译:
尽管任意故障,但是高效建设SoC的全球时间
作者:
Lenzen Christoph
;
Fugger Matthias
;
Hofstatter Markus
;
Schmid Ulrich
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Byzantine faults;
clock synchronization;
consensus;
self-stabilization;
small bandwidth;
47.
Energy-Aware Fault-Tolerant CGRAs Addressing Application with Different Reliability Needs
机译:
能量感知容错CGRAS寻址具有不同可靠性需求的应用
作者:
Jafri Syed M.A.H.
;
Piestrak Stanislaw J.
;
Paul Kolin
;
Hemani Ahmed
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Adaptive systems;
CGRAs;
Energy aware;
Fault tolerance;
Low power;
48.
Fast Multiprocessor Scheduling with Fixed Task Binding of Large Scale Industrial Cyber Physical Systems
机译:
快速多处理器调度,具有大型工业网络物理系统的固定任务绑定
作者:
Adyanthaya Shreya
;
Geilen Marc
;
Basten Twan
;
Schiffelers Ramon
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Fixed binding;
Industrial case study;
Latency;
Multiprocessor scheduling;
Scalability;
cyber-physical systems;
49.
Noodle: A Heuristic Algorithm for Task Scheduling in MPSoC Architectures
机译:
面条:MPSOC架构中任务调度的启发式算法
作者:
Bhatti Muhammad Khurram
;
Oz Isil
;
Muddukrishna Ananya
;
Popov Konstantin
;
Brorsson Mats
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Equations;
Mathematical model;
Optimal scheduling;
Schedules;
Scheduling algorithms;
Directed Acyclic Graph (DAG);
List Scheduling;
Multiprocessor System-on-Chip(MPSoC);
Parallel Computing;
50.
Towards Exploring Vast MPSoC Mapping Design Spaces Using a Bias-Elitist Evolutionary Approach
机译:
利用偏压进化方法探索庞大的MPSoC映射设计空间
作者:
Quan Wei
;
Pimentel Andy D.
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Biological cells;
Computer architecture;
Genetic algorithms;
Heuristic algorithms;
Program processors;
Sociology;
Statistics;
51.
Verification of Robotic Surgery Tasks by Reachability Analysis: A Comparison of Tools
机译:
通过可达性分析验证机器人手术任务:工具的比较
作者:
Bresolin Davide
;
Geretti Luca
;
Muradore Riccardo
;
Fiorini Paolo
;
Villa Tiziano
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Accuracy;
Approximation methods;
Automata;
End effectors;
Safety;
Surgery;
Formal Verification;
Hybrid Systems;
Robotic Surgery;
52.
Architecture of Effective High-Speed Network Stream Merger
机译:
有效高速网络流合并的体系结构
作者:
Benacek Pavel
;
Kubatova Hana
;
Pu Viktor
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Data transfer;
Field programmable gate arrays;
Hardware;
Merging;
Ports (Computers);
Protocols;
Throughput;
40 and 100 Gbps Ethernet;
Effective High-Speed Network Stream Merging;
FPGA;
53.
Flexible Radio Interface for NoC RF-Interconnect
机译:
用于NOC RF-Interconnect的灵活无线电接口
作者:
Drillet Frederic
;
Hamieh Mohamad
;
Zerioul Lounis
;
Briere Alexandre
;
Unlu Eren
;
Ariaudo Myriam
;
Louet Yves
;
Bourdel Emmanuelle
;
Denoulet Julien
;
Pinna Andrea
;
Granado Bertrand
;
Garda Patrick
;
Pecheux Francois
;
Duperrier Cedric
;
Quintanel Sebastien
;
Meunier Philippe
;
Moy Christophe
;
Romain Olivier
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Bandwidth;
Mixers;
Power demand;
Radio frequency;
Receivers;
Resource management;
Transceivers;
MP-SOC;
OFDMA;
RF-transceiver;
integrated circuits;
54.
Design Space Exploration in an FPGA-Based Software Defined Radio
机译:
基于FPGA的软件定义无线电设计的设计空间探索
作者:
Gautier Matthieu
;
Ouedraogo Ganda Stephane
;
Sentieys Olivier
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
DSL;
Estimation;
Field programmable gate arrays;
IEEE 802.15 Standards;
IP networks;
Table lookup;
Throughput;
Design Space Exploration;
Field Programmable Gate Array (FPGA);
High- Level Synthesis (HLS);
Software Defined Radio (SDR);
55.
Ultra Low-Power Computation via Graphene-Based Adiabatic Logic Gates
机译:
超低功耗通过基于石墨烯的绝热逻辑栅极计算
作者:
Miryala Sandeep
;
Calimera Andrea
;
Macii Enrico
;
Poncino Massimo
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
CMOS integrated circuits;
Capacitance;
Graphene;
Logic gates;
Materials;
P-n junctions;
Adiabatic Circuits;
Graphene Reconfigurable Gate;
Graphene p-n Junction;
Low Power;
56.
Simulation Alternatives for Modeling Networked Cyber-Physical Systems
机译:
建模网络网络物理系统的仿真替代品
作者:
Lora Michele
;
Muradore Riccardo
;
Reffato Riccardo
;
Fummi Franco
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Computational modeling;
Delays;
Hardware;
Mathematical model;
Ports (Computers);
Time-domain analysis;
Time-varying systems;
Co-Simulation;
Simulation Network Simulation;
SystemC-AMS;
57.
Many-Core Scheduling of Data Parallel Applications Using SMT Solvers
机译:
使用SMT求解器的数据并行应用的许多核心调度
作者:
Tendulkar Pranav
;
Poplavko Peter
;
Galanommatis Ioannis
;
Maler Oded
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Aerospace electronics;
Computational modeling;
Delays;
Optimal scheduling;
Processor scheduling;
Schedules;
Scheduling;
DMA;
multiprocessor;
scheduling;
task graph;
58.
Design Techniques for NCL-Based Asynchronous Circuits on Commercial FPGA
机译:
商业FPGA基于NCL的异步电路的设计技术
作者:
Kim Matthew M.
;
Beckett Paul
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Delays;
Field programmable gate arrays;
Hardware design languages;
Logic gates;
Standards;
Table lookup;
Null Convention Logic;
asynchronous logic;
verilog;
59.
On Enhancing Fault Injection's Capabilities and Performances for Safety Critical Systems
机译:
提高安全关键系统的故障注入的能力和性能
作者:
Carlo Stefano Di
;
Gambardella Giulio
;
Prinetto Paolo
;
Reichenbach Frank
;
Lokstad Trond
;
Rafiq Gulzaib
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Computer architecture;
Hardware;
Ports (Computers);
Random access memory;
Safety;
Software;
Testing;
FPGA;
dependability;
diagnosis;
fault injection;
functional safety;
safety;
system-on-programmable-chip;
testing;
60.
A Field Programmable Gate Array-Based Digital Temperature Sensor with Improved Immunity to Static Supply Shift
机译:
基于领域可编程栅极阵列的数字温度传感器,具有改善的静态电源偏移
作者:
Princewill Akpojotor
;
Ayodeji Oluwatope
;
Kayode Ayodele
;
Adesola Aderounmu
;
Rotimi Adagunodo
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Calibration;
Delay lines;
Delays;
Logic gates;
Temperature measurement;
Temperature sensors;
Digital Temperature Sensor;
Dual Delay- Line;
Field Programmable Gate Array;
Noise Resistant;
Static Supply Shift;
61.
P-SOCRATES: A Parallel Software Framework for Time-Critical Many-Core Systems
机译:
p-socrates:一个并行软件框架,用于多重关键的多核系统
作者:
Pinho Luis Miguel
;
Quinones Eduardo
;
Bertogna Marko
;
Marongiu Andrea
;
Carlos Jorge Pereira
;
Scordino Claudio
;
Ramponi Michele
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Computational modeling;
Computer architecture;
Embedded computing;
Hardware;
Real-time systems;
Time factors;
Timing;
Many-core systems;
Parallel programming;
Predictability;
Real-Time;
Scheduling;
62.
Towards Component-Based Design of Safety-Critical Cyber-Physical Applications
机译:
朝着基于组件的安全关键网络物理应用设计
作者:
Masrur Alejandro
;
Kit Michal
;
Bure Toma
;
Hardt Wolfram
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Adaptation models;
Delays;
Real-time systems;
Runtime;
Software;
Switches;
Vehicles;
63.
Three-Dimensional Design Space Exploration for System Level Synthesis
机译:
系统级合成的三维设计空间探索
作者:
Li Shuo
;
Hemani Ahmed
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Digital systems;
Constraint Programming;
Design Space Exploration;
System Level Synthesis;
64.
On Robustness of EDA Tools
机译:
关于EDA工具的鲁棒性
作者:
Schmidt Jan
;
Fier Petr
;
Balcarek Jiri
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Benchmark testing;
Histograms;
Integrated circuit modeling;
Logic gates;
Robustness;
Table lookup;
Vectors;
logic synthesis;
randomness;
robustness;
stochastic models;
65.
Design Space Exploration for Customized Asymmetric Heterogeneous MPSoC
机译:
定制非对称异构MPSOC的设计空间探索
作者:
Damak Bouthaina
;
Benmansour Rachid
;
Baklouti Mouna
;
Niar Smail
;
Abid Mohamed
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Acceleration;
Computer architecture;
Field programmable gate arrays;
Hardware;
Mixed integer linear programming;
Resource management;
Space exploration;
Application-specific instructions;
Area constraint;
FPGA;
MIP model;
Shared hardware accelerators;
66.
Circuits and Synthesis Mechanism for Hardware Design to Counter Power Analysis Attacks
机译:
硬件设计电路和综合机制对逆功率分析攻击
作者:
De Partha
;
Banerjee Kunal
;
Mandal Chittaranjan
;
Mukhopadhyay Debdeep
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Boolean functions;
Data structures;
Inverters;
Logic gates;
Power demand;
Resistance;
Transistors;
Binary Decision Diagram;
Early propagation effect;
Power analysis attack;
Side channel attack;
Voltage scaling;
67.
Stochastic Logic Realization of Matrix Operations
机译:
矩阵操作的随机逻辑实现
作者:
Ting Pai-Shun
;
Hayes John Patrick
会议名称:
《Euromicro Conference on Digital System Design》
|
2014年
关键词:
Accuracy;
Adders;
Approximation methods;
Polynomials;
Symmetric matrices;
Tin;
Vectors;
Stochastic computing;
eigen-vector computation;
face recognition;
matrix operations;
68.
Implementing Modular FFTs in FPGAs -- A Basic Block for Lattice-Based Cryptography
机译:
在FPGA中实现模块化FFT——基于晶格的密码学的基本块
作者:
Gyorfi Tamas
;
Cret Octavian
;
Borsos Zalan
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
FFT;
diminished-one representation;
modular arithmetics;
serial distributed arithmetic;
69.
UML/MARTE Methodology for Automatic SystemC Code Generation of Openmax Multimedia Applications
机译:
Openmax多媒体应用程序自动生成SystemC代码的UML/MARTE方法
作者:
Penil Pablo
;
Sanchez Pablo
;
de la Fuente David
;
Barba Jesus
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
OpenMAX;
SystemC;
UML/MARTE;
UTP;
automatic generation;
70.
Olympic: A Hierarchical All-Optical Photonic Network for Low-Power Chip Multiprocessors
机译:
Olympic:一种用于低功耗芯片多处理器的分层全光光子网络
作者:
Bartolini Sandro
;
Lusnig Luca
;
Martinelli Enrico
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
71.
Runtime Online Links Voltage Scaling for Low Energy Networks on Chip
机译:
低能耗片上网络的运行时在线链路电压调整
作者:
Mineo Andrea
;
Palesi Maurizio
;
Ascia Giuseppe
;
Catania Vincenzo
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Link power reduction;
Low power;
Network on Chip;
Power analysis;
72.
Identifying NBTI-Critical Paths in Nanoscale Logic
机译:
在纳米逻辑中识别NBTI关键路径
作者:
Ubar Raimund
;
Vargas Fabian
;
Jenihhin Maksim
;
Raik Jaan
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
NBTI-critical path;
aging;
logic circuit;
path identification;
73.
A Multithreaded Parallel Global Routing Method with Overlapped Routing Regions
机译:
一种具有重叠布线区域的多线程并行全局布线方法
作者:
Shintani Yasuhiro
;
Inagi Masato
;
Nagayama Shinobu
;
Wakabayashi Shinichi
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
EDA;
global routing;
multithreading;
parallel;
74.
A Joint Communication and Application Simulator for NoC-Based Custom SoCs: LDPC and Turbo Codes Parallel Decoding Case Study
机译:
基于NoC的定制soc的联合通信与应用模拟器:LDPC码和Turbo码并行译码实例研究
作者:
Condo Carlo
;
Baghdadi Amer
;
Masera Guido
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
LDPC;
NoC;
SoC;
decoder;
genetic;
simulator;
turbo;
75.
Double-Edge Transformation for Optimized Power Analysis Suppression Countermeasures
机译:
用于优化功率分析抑制对策的双边变换
作者:
Mansouri Shohreh Sharif
;
Dubrova Elena
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
FSR based stream cipher;
Grain;
Power Analysis attack;
countermeasure;
76.
An Energy-Efficient Reconfigurable NoC Architecture with RF-Interconnects
机译:
一种具有射频互连的节能可重构NoC结构
作者:
ValadBeigi Majed
;
Safaei Farshad
;
Pourshirazi Bahareh
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Energy Consumption;
Network-on-Chip;
Performance Evaluation;
RF-Interconnect;
Reconfigurable MPSoC;
77.
Dynamic Noise Estimation Approach for X-Ray Detectors on FPGAs
机译:
基于FPGA的X射线探测器动态噪声估计方法
作者:
Aschauer Florian
;
Stechele Walter
;
Treis Johannes
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
FPGA;
MIXS;
Noise estimation;
X-ray;
real-time data processing;
78.
Towards a Modelling and Design Framework for Mixed-Criticality SoCs and Systems-of-Systems
机译:
面向混合临界SOC和系统体系的建模和设计框架
作者:
Herrera Fernando
;
Niaki Seyed Hosein Attarzadeh
;
Sander Ingo
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Cyber-Physical Systems;
Embedded Distributed Systems;
Mixed- Criticality;
Systems-of-Systems;
79.
Improving Performance and Fabrication Metrics of Three-Dimensional ICs by Multiplexing Through-Silicon Vias
机译:
通过硅通孔复用提高三维集成电路的性能和制造指标
作者:
Said Mostafa
;
Mehdipour Farhad
;
El-Sayed Mohamed
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
80.
Master-Slave Control Structure for Massively Parallel System on Chip
机译:
大规模并行片上系统的主从控制结构
作者:
Krichene Hana
;
Baklouti Mouna
;
Abid Mohamed
;
Marque Philippe
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
FPGA;
MIMD;
SIMD;
master-slave control;
mpSoC;
81.
Digital Late-Transition Metastability Simulation Model
机译:
数字后过渡亚稳态模拟模型
作者:
Polzer Thomas
;
Steininger Andreas
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
82.
An Ambient Temperature Variation Tolerance Scheme for an Ultra Low Power Shared-L1 Processor Cluster
机译:
超低功耗共享L1处理器集群的环境温度变化容限方案
作者:
Bortolotti Daniele
;
Bartolini Andrea
;
Benini Luca
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Ambient Temperature;
Dynamic Reconfiguration;
Near Threshold Computing;
Ultra Low Power;
Variability Tolerance;
83.
Evaluating the Hardware Performance of a Million-Bit Multiplier
机译:
评估百万位乘法器的硬件性能
作者:
Doroz Yarkin
;
Ozturk Erdinc
;
Sunar Berk
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
FFT;
Number Theoretical Transform;
homomorphic encryption;
large multiplier;
84.
Analysis and Evaluation of Circuit Switched NoC and Packet Switched NoC
机译:
电路交换NoC和分组交换NoC的分析与评价
作者:
Liu Shaoteng
;
Jantsch Axel
;
Lu Zhonghai
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
85.
pyHybrid Analysis: A Package for Semantics Analysis of Hybrid Systems
机译:
pyHybrid Analysis:混合系统语义分析包
作者:
Casagrande Alberto
;
Dreossi Tommaso
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
amp;
amp;
amp;
epsi;
-Semantics;
First-Order Theory;
Hybrid Automata;
86.
Global Interconnect and Control Synthesis in System Level Architectural Synthesis Framework
机译:
系统级体系结构综合框架中的全局互联与控制综合
作者:
Li Shuo
;
Hemani Ahmed
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Global Interconnect and Control Synthesis;
High Level Synthesis;
System Level Synthesis;
87.
Scalable Video Coding Deblocking Filter FPGA and ASIC Implementation Using High-Level Synthesis Methodology
机译:
采用高级综合方法的可伸缩视频编码去块滤波器FPGA和ASIC实现
作者:
Carballo Pedro P.
;
Espino Omar
;
Neris Romen
;
Hernandez-Fernandez Pedro
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
ASIC;
Deblocking filter;
FPGA;
H.264/SVC;
SystemC;
hardware accelerator;
high-level synthesis;
88.
Virtual TMR Schemes Combining Fault Tolerance and Self Repair
机译:
结合容错和自修复的虚拟TMR方案
作者:
Koal T.
;
Ulbricht M.
;
Vierhaus H.T.
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Fault Tolerance;
Self-repair;
Time shared TMR;
89.
Advanced Switching Mechanisms for Forthcoming On-Chip Networks
机译:
面向即将到来的片上网络的高级交换机制
作者:
Castillo Emilio
;
Camarero Cristobal
;
Stafford Esteban
;
Vallejo Fernando
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
Dimension Order Routing;
Interconnection networks;
Symmetric routing mechanisms;
k-ary n-cubes;
90.
A Static Analysis Approach for Verification of Synchronization Correctness of SystemC Designs
机译:
SystemC设计同步正确性验证的静态分析方法
作者:
Glukhikh Mikhail
;
Moiseev Mikhail
;
Salishev Sergey
会议名称:
《Euromicro Conference on Digital System Design》
|
2013年
关键词:
SystemC;
static analysis;
synchronization error detection;
意见反馈
回到顶部
回到首页