...
首页> 外文期刊>IEEE transactions on very large scale integration (VLSI) systems >Profit Aware Circuit Design Under Process Variations Considering Speed Binning
【24h】

Profit Aware Circuit Design Under Process Variations Considering Speed Binning

机译:考虑速度分级的工艺变化下的获利电路设计

获取原文
获取原文并翻译 | 示例
   

获取外文期刊封面封底 >>

       

摘要

In this paper, a profit-aware design metric is proposed to consider the overall merit of a design in terms of power and performance. A statistical design methodology is then developed to improve the economic merit of a design considering frequency binning and product price profile. A low-complexity sensitivity-based gate sizing algorithm is developed to improve economic gain of a design over its initial yield-optimized design. Finally, we present an integrated design methodology for simultaneous sizing and bin boundary determination to enhance profit under an area constraint. Experiments on a set of ISCAS''85 benchmarks show in average 19% improvement in profit for simultaneous sizing and bin boundary determination, considering both leakage power dissipation and delay bounds compared to a design initially optimized for 90% yield at iso-area in 70-nm bulk CMOS technology.
机译:在本文中,提出了一种获利意识设计指标,以考虑设计在功耗和性能方面的总体优点。然后,开发一种统计设计方法,以考虑频率划分和产品价格概况,提高设计的经济价值。开发了一种基于低复杂度灵敏度的门调整大小算法,以提高其初始成品率优化设计的经济收益。最后,我们提出了一种集成设计方法,可同时进行尺寸确定和分箱边界确定,以提高面积约束下的利润。在ISCAS''85系列基准上进行的一组实验表明,考虑到泄漏功率耗散和延迟范围,与同时为70%的等面积面积上90%的产量进行初始优化的设计相比,同时进行尺寸确定和仓边界确定的利润平均提高了19% -nm体CMOS技术。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号