掌桥科研
一站式科研服务平台
学术工具
文档翻译
论文查重
文档转换
收录引用
科技查新
期刊封面封底
自科基金
外文数据库(机构版)
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
计算机、自动化
>
Design, Automation & Test in Europe Conference & Exhibition;DATE 2013
Design, Automation & Test in Europe Conference & Exhibition;DATE 2013
召开年:
2013
召开地:
Grenoble(FR);Grenoble(FR)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
通讯和计算机
软件工程师
办公自动化(办公设备与耗材)
计算机与数字工程
计算机工程与应用
中国计算机学会通讯
电脑知识与技术-经验技巧
网管员世界
自动化应用
个人电脑
更多>>
相关外文期刊
Library Software Review
International journal of grid and high performance computing
The International journal of robotics research
International journal of web information systems
ACM transactions on sensor networks
ACM transactions on software engineering and methodology
Information Security Technical Report
Artificial intelligence for engineering design, analysis and manufacturing
InfoWorld
International journal of electronic security and digital forensics
更多>>
相关中文会议
中国计算机用户协会网络应用分会2010年网络新技术与应用研讨会
2005中国控制与决策学术年会
2006年信息技术与教育研讨会(ITIE2006)
2004中国票务防伪展示暨高峰论坛
第九届全国虚拟现实与可视化学术会议
CWSN2010第4届中国传感器网络学术会议
2010 TD网络创新研讨会
2008江苏省自动化学会学术年会
网络化制造与大规模定制学术会议
中国自动化学会中南六省区自动化学会第十届学术年会
更多>>
相关外文会议
Model checking software
Automated Technology for Verification and Analysis
Adaptive coded aperture imaging and non-imaging sensors II
International Conference on Advanced Information Systems Engineering(CAiSE 2007); 20070611-15; Trondheim(NO)
Computer performance evaluation : Modelling techniques and tools
International Conference on Wired/Wireless Internet Communications(WWIC 2007); 20070623-25; Coimbra(PT)
International Conference on Model Driven Engineering Languages and Systems(MoDELS 2005); 20051002-07; Montego Bay(JM)
Electro-optical remote sensing XII
EPD congress 2004
Very High Resolution and Quality Imaging III
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Control-quality driven design of cyber-physical systems with robustness guarantees
机译:
具有鲁棒性的网络物理系统的控制质量驱动设计
作者:
Aminifar Amir
;
Eles Petru
;
Peng Zebo
;
Cervin Anton
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
2.
Compositional analysis of switched Ethernet topologies
机译:
交换以太网拓扑的组成分析
作者:
Schneider Reinhard
;
Zhang Licong
;
Goswami Dip
;
Masrur Alejandro
;
Chakraborty Samarjit
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
3.
Multirate controller design for resource- and schedule-constrained automotive ECUs
机译:
适用于资源和计划受限的汽车ECU的多速率控制器设计
作者:
Goswami Dip
;
Masrur Alejandro
;
Schneider Reinhard
;
Xue Chun Jason
;
Chakraborty Samarjit
会议名称:
《》
|
2013年
4.
Qualification and testing process to implement anti-counterfeiting technologies into IC packages
机译:
在IC封装中实施防伪技术的资格和测试过程
作者:
Kae-Nune Nathalie
;
Pesseguier Stephanie
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Anti-counterfeiting technologies;
authentication;
component counterfeiting;
failure analysis;
failure prevention;
re-packaging;
reliability testing;
remarking;
5.
Sustainable energy policies: Research challenges and opportunities
机译:
可持续能源政策:研究挑战与机遇
作者:
Milano Michela
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
6.
Perpetual and low-cost power meter for monitoring residential and industrial appliances
机译:
用于监视住宅和工业设备的永久性低成本功率计
作者:
Porcarelli Danilo
;
Balsamo Domenico
;
Brunelli Davide
;
Paci Giacomo
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Wireless sensor networks;
active ORing;
energy harvesting;
energy measuring;
smart metering;
7.
Toward polychronous analysis and validation for timed software architectures in AADL
机译:
对AADL中的定时软件体系结构进行多同步分析和验证
作者:
Ma Yue
;
Yu Huafeng
;
Gautier Thierry
;
Le Guernic Paul
;
Talpin Jean-Pierre
;
Besnard Loic
;
Heitz Maurice
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
AADL;
MDE;
Polychrony;
timing analysis;
8.
Reversible logic synthesis of k-input, m-output lookup tables
机译:
k输入,m输出查找表的可逆逻辑综合
作者:
Shafaei Alireza
;
Saeedi Mehdi
;
Pedram Massoud
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Binary welded tree;
Logic synthesis;
Lookup tables;
Reversible circuits;
Shor's quantum number-factoring algorithm;
9.
Trojan detection via delay measurements: A new approach to select paths and vectors to maximize effectiveness and minimize cost
机译:
通过延迟测量进行木马检测:一种选择路径和向量的新方法,可最大程度地提高效率并降低成本
作者:
Cha Byeongju
;
Gupta Sandeep K.
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Hardware Trojan;
parametric test;
security;
10.
Reverse engineering digital circuits using functional analysis
机译:
使用功能分析反向工程数字电路
作者:
Subramanyan Pramod
;
Tsiskaridze Nestan
;
Pasricha Kanika
;
Reisman Dillon
;
Susnea Adriana
;
Malik Sharad
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
11.
A practical testing framework for isolating hardware timing channels
机译:
隔离硬件定时通道的实用测试框架
作者:
Oberg Jason
;
Meiklejohn Sarah
;
Sherwood Timothy
;
Kastner Ryan
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
12.
Threshold voltage distribution in MLC NAND flash memory: Characterization, analysis, and modeling
机译:
MLC NAND闪存中的阈值电压分布:表征,分析和建模
作者:
Cai Yu
;
Haratsch Erich F.
;
Mutlu Onur
;
Mai Ken
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Memory Reliability;
Memory Signal Processing;
NAND Flash;
Read Retry;
Threshold Voltage Distribution;
13.
Holistic design parameter optimization of multiple periodic resources in hierarchical scheduling
机译:
分层调度中多个周期资源的整体设计参数优化
作者:
Yoon Man-Ki
;
Kim Jung-Eun
;
Bradford Richard
;
Sha Lui
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
14.
Robust and extensible task implementations of synchronous finite state machines
机译:
同步有限状态机的鲁棒且可扩展的任务实现
作者:
Zhu Qi
;
Deng Peng
;
Di Natale Marco
;
Zeng Haibo
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
15.
FBLT: A real-time contention manager with improved schedulability
机译:
FBLT:具有改进的可调度性的实时竞争管理器
作者:
Elshambakey Mohammed
;
Ravindran Binoy
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
16.
Mitigating dark-silicon problems using superlattice-based thermoelectric coolers
机译:
使用基于超晶格的热电冷却器缓解黑硅问题
作者:
Paterna Francesco
;
Reda Sherief
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
17.
Run-time probabilistic detection of miscalibrated thermal sensors in many-core systems
机译:
多核系统中校准错误的热传感器的运行时概率检测
作者:
Zhao Jia
;
Lu Shiting
;
Burleson Wayne
;
Tessier Russell
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
18.
GLA: Gate-level abstraction revisited
机译:
GLA:重新介绍门级抽象
作者:
Mishchenko Alan
;
Een Niklas
;
Brayton Robert
;
Baumgartner Jason
;
Mony Hari
;
Nalla Pradeep
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
19.
A novel concurrent cache-friendly binary decision diagram construction for multi-core platforms
机译:
用于多核平台的新颖的并发缓存友好型二进制决策图构造
作者:
Elbayoumi Mahmoud
;
Hsiao Michael S.
;
ElNainay Mustafa
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
20.
A low-power and low-voltage BBPLL-based sensor interface in 130nm CMOS for wireless sensor networks
机译:
用于无线传感器网络的130nm CMOS低功耗,低电压,基于BBPLL的传感器接口
作者:
Van Rethy Jelle
;
Danneels Hans
;
De Smedt Valentijn
;
Dehaene Wim
;
Gielen Georges
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
21.
Reachability analysis of nonlinear analog circuits through iterative reachable set reduction
机译:
通过迭代可达集约简来分析非线性模拟电路的可达性
作者:
Ahmadyan Seyed Nematollah
;
Vasudevan Shobha
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
22.
Formal verification of analog circuit parameters across variation utilizing SAT
机译:
利用SAT对形式变化的模拟电路参数进行形式验证
作者:
Miller Merritt
;
Brewer Forrest
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Analog Verification;
Circuit Modeling;
Discrete Representation;
SAT;
23.
Statistical modeling with the Virtual Source MOSFET model
机译:
使用虚拟源MOSFET模型进行统计建模
作者:
Yu Li
;
Wei Lan
;
Antoniadis Dimitri
;
Elfadel Ibrahim
;
Boning Duane
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
24.
Capturing vulnerability variations for register files
机译:
捕获注册文件的漏洞变化
作者:
Carretero Javier
;
Herrero Enric
;
Monchiero Matteo
;
Ramirez Tanausu
;
Vera Xavier
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
25.
AVF-driven parity optimization for MBU protection of in-core memory arrays
机译:
AVF驱动的奇偶校验优化可为内核内存阵列提供MBU保护
作者:
Maniatakos Michail
;
Michael Maria K.
;
Makris Yiorgos
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
26.
Game-theoretic analysis of decentralized core allocation schemes on many-core systems
机译:
多核系统上分散式核心分配方案的博弈分析
作者:
Wildermann Stefan
;
Ziermann Tobias
;
Teich Jurgen
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
27.
Pipelets: Self-organizing software Pipelines for many-core architectures
机译:
管道:用于多核架构的自组织软件管道
作者:
Jahn Janmartin
;
Henkel Jorg
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
28.
Determining relevant model elements for the verification of UML/OCL specifications
机译:
确定用于验证UML / OCL规范的相关模型元素
作者:
Seiter Julia
;
Wille Robert
;
Soeken Mathias
;
Drechsler Rolf
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
29.
Towards a generic verification methodology for system models
机译:
迈向系统模型的通用验证方法
作者:
Wille Robert
;
Gogolla Martin
;
Soeken Mathias
;
Kuhlmann Mirco
;
Drechsler Rolf
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
30.
A sub-#x00B5;A power management circuit in 0.18#x00B5;m CMOS for energy harvesters
机译:
用于能量收集器的0.18µm CMOS亚µA电源管理电路
作者:
Mishra Biswajit
;
Botteron Cyril
;
Tasselli Gabriele
;
Robert Chritian
;
Farine Pierre-Andre
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
31.
Saliency aware display power management
机译:
显着性显示电源管理
作者:
Xiao Yang
;
Irick Kevin
;
Narayanan Vijaykrishnan
;
Shin Donghwa
;
Chang Naehyuck
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
FPGA and ASIC design;
LCD;
LED;
system level power management;
32.
Active-mode leakage reduction with data-retained power gating
机译:
通过数据保留的电源门控来降低有源模式泄漏
作者:
Kahng Andrew B.
;
Kang Seokhyeong
;
Park Bongil
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
33.
A power-driven thermal sensor placement algorithm for dynamic thermal management
机译:
用于动态热管理的功率驱动型热传感器放置算法
作者:
Wang Hai
;
Tan Sheldon X.-D.
;
Swarup Sahana
;
Liu Xue-Xin
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
34.
Co-synthesis of data paths and clock control paths for minimum-period clock gating
机译:
数据路径和时钟控制路径的综合,以实现最小周期的时钟门控
作者:
Tu Wen-Pin
;
Huang Shih-Hsu
;
Cheng Chun-Hua
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Clock Gating;
Clock Period Minimization;
Data Path Synthesis;
Delay Insertion;
35.
Fast and efficient Lagrangian Relaxation-based Discrete Gate Sizing
机译:
快速高效的基于拉格朗日松弛的离散门定径
作者:
Livramento Vinicius S.
;
Guth Chrystian
;
Guntzel Jose Luis
;
Johann Marcelo O.
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
36.
Sub-quadratic objectives in quadratic placement
机译:
二次放置中的次二次目标
作者:
Struzyna Markus
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
37.
CATALYST: Planning layer directives for effective design closure
机译:
催化剂:有效关闭设计的规划层指令
作者:
Wei Yaoguang
;
Li Zhuo
;
Sze Cliff
;
Hu Shiyan
;
Alpert Charles J.
;
Sapatnekar Sachin S.
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
38.
Innovative energy storage solutions for future electromobility in smart cities
机译:
面向智慧城市未来电动汽车的创新储能解决方案
作者:
Green Kevin
;
Technologies New
;
Gonzalez Salvador Rodriguez
;
Wijtvliet Ruud
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Battery energy storage;
photo-voltaics;
smart grid;
39.
Smart, connected and mobile: Architecting future electric mobility ecosystems
机译:
智能,互联和移动:构建未来的电动出行生态系统
作者:
Vermesan Ovidiu
;
Blystad Lars-Cyril
;
Hank Peter
;
Bahr Roy
;
John Reiner
;
Moscatelli Alessandro
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Internet of Energy;
connected vehicle;
electric vehicle;
in-vehicle communication;
telematics;
40.
e-Mobility the next frontier for automotive industry
机译:
e-Mobility是汽车行业的下一个前沿领域
作者:
Zafalon Roberto
;
Vermesan Ovidiu
;
Coppola Giovanni
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Internet of Energy;
connected vehicle;
electric vehicle;
in-vehicle communication;
telematics;
41.
A new paradigm for trading off yield, area and performance to enhance performance per wafer
机译:
权衡良率,面积和性能以提高每个晶圆性能的新范例
作者:
Gao Yue
;
Breuer Melvin A.
;
Wang Yanzhi
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
42.
Optimization of secure embedded systems with dynamic task sets
机译:
通过动态任务集优化安全嵌入式系统
作者:
Jiang Ke
;
Eles Petru
;
Peng Zebo
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
43.
A spectral clustering approach to application-specific Network-on-Chip synthesis
机译:
一种针对特定应用的片上网络综合的频谱聚类方法
作者:
Todorov Vladimir
;
Mueller-Gritschneder Daniel
;
Reinig Helmut
;
Schlichtmann Ulf
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
44.
Defect-tolerant logic hardening for crossbar-based nanosystems
机译:
基于交叉开关的纳米系统的容错逻辑强化
作者:
Su Yehua
;
Rao Wenjing
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
45.
On reconfigurable Single-Electron Transistor arrays synthesis using reordering techniques
机译:
关于使用重排序技术的可重构单电子晶体管阵列合成
作者:
Chiang Chang-En
;
Tang Li-Fu
;
Wang Chun-Yao
;
Huang Ching-Yi
;
Chen Yung-Chih
;
Datta Suman
;
Narayanan Vijaykrishnan
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
46.
D-MRAM cache: Enhancing energy efficiency with 3T-1MTJ DRAM / MRAM hybrid memory
机译:
D-MRAM高速缓存:使用3T-1MTJ DRAM / MRAM混合内存提高能效
作者:
Noguchi Hiroki
;
Nomura Kumiko
;
Abe Keiko
;
Fujita Shinobu
;
Arima Eishi
;
Kim Kyundong
;
Nakada Takashi
;
Miwa Shinobu
;
Nakamura Hiroshi
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
47.
Leveraging sensitivity analysis for fast, accurate estimation of SRAM dynamic write VMIN
机译:
利用灵敏度分析来快速,准确地估计SRAM动态写入VMIN
作者:
Boley James
;
Chandra Vikas
;
Aitken Robert
;
Calhoun Benton
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
48.
What designs for coming supercomputers?
机译:
未来的超级计算机有哪些设计?
作者:
Vigouroux Xavier
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
49.
Performance analysis of HPC applications on low-power embedded platforms
机译:
低功耗嵌入式平台上的HPC应用程序性能分析
作者:
Stanisic Luka
;
Videau Brice
;
Cronsioe Johan
;
Degomme Augustin
;
Marangozova-Martin Vania
;
Legrand Arnaud
;
Mehaut Jean-Francois
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
50.
A hybrid approach for fast and accurate trace signal selection for post-silicon debug
机译:
用于硅后调试的快速准确选择跟踪信号的混合方法
作者:
Li Min
;
Davoodi Azadeh
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
51.
Using explicit output comparisons for fault tolerant scheduling (FTS) on modern high-performance processors
机译:
将显式输出比较用于现代高性能处理器上的容错调度(FTS)
作者:
Gao Yue
;
Gupta Sandeep K.
;
Breuer Melvin A.
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
52.
Low cost permanent fault detection using ultra-reduced instruction set co-processors
机译:
使用超精简指令集协处理器的低成本永久故障检测
作者:
Ananthanarayan Sundaram
;
Garg Siddharth
;
Patel Hiren D.
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
53.
Improving fault tolerance utilizing hardware-software-co-synthesis
机译:
利用硬件-软件-综合来提高容错能力
作者:
Riener Heinz
;
Frehse Stefan
;
Fey Gorschwin
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Fault tolerance;
Formal methods;
Optimization;
Synthesis;
54.
ACM SIGDA / EDAA PhD forum at DATE 2013 in grenoble
机译:
2013年DATE的ACM SIGDA / EDAA博士论坛
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
55.
Smart systems for internet of things
机译:
物联网智能系统
作者:
Vigna Benedetto
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
56.
Creating a sustainable information and communication infrastructure
机译:
建立可持续的信息和通信基础设施
作者:
Pedram Massoud
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
57.
Optimized out-of-order Parallel Discrete Event Simulation using predictions
机译:
使用预测优化无序并行离散事件模拟
作者:
Chen Weiwei
;
Domer Rainer
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
58.
Runtime verification of nonlinear analog circuits using incremental Time-augmented RRT algorithm
机译:
使用增量时间增强RRT算法的非线性模拟电路的运行时验证
作者:
Ahmadyan Seyed Nematollah
;
Kumar Jayanand Asok
;
Vasudevan Shobha
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
59.
An automated parallel simulation flow for heterogeneous embedded systems
机译:
异构嵌入式系统的自动化并行仿真流程
作者:
Niaki Seyed Hosein Attarzadeh
;
Sander Ingo
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
60.
Mutation analysis with coverage discounting
机译:
具有覆盖率折扣的突变分析
作者:
Lisherness Peter
;
Lesperance Nicole
;
Cheng Kwang-Ting
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
61.
Cherry-picking: Exploiting process variations in dark-silicon homogeneous chip multi-processors
机译:
采摘樱桃:利用深色硅均质芯片多处理器中的工艺差异
作者:
Raghunathan Bharathwaj
;
Turakhia Yatish
;
Garg Siddharth
;
Marculescu Diana
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
62.
Energy optimization with worst-case deadline guarantee for pipelined multiprocessor systems
机译:
在最坏情况下保证最后期限的流水线多处理器系统的能源优化
作者:
Chen Gang
;
Huang Kai
;
Buckl Christian
;
Knoll Alois
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
63.
Self-adaptive hybrid Dynamic Power Management for many-core systems
机译:
适用于多核系统的自适应混合动态电源管理
作者:
Shafique Muhammad
;
Vogel Benjamin
;
Henkel Jorg
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
64.
Runtime power estimation of mobile AMOLED displays
机译:
移动AMOLED显示器的运行时功率估算
作者:
Kim Dongwon
;
Jung Wonwoo
;
Cha Hojung
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Power;
energy;
estimation;
modeling;
65.
UTBB FD-SOI: A process/design symbiosis for breakthrough energy-efficiency
机译:
UTBB FD-SOI:工艺/设计共生,实现突破性的能源效率
作者:
Magarshack Philippe
;
Flatresse Philippe
;
Cesana Giorgio
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Back-Bias;
CMOS;
SoC;
UTBB FD-SOI;
energy efficiency;
high-performance;
low-power;
mobile application;
66.
Efficient and scalable OpenMP-based system-level design
机译:
高效且可扩展的基于OpenMP的系统级设计
作者:
Cilardo Alessandro
;
Gallo Luca
;
Mazzeo Antonino
;
Mazzocca Nicola
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
67.
Minimization of P-circuits using boolean relations
机译:
使用布尔关系最小化P电路
作者:
Bernasconi Anna
;
Ciriani Valentina
;
Trucco Gabriella
;
Villa Tiziano
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
68.
Biconditional BDD: A novel canonical BDD for logic synthesis targeting XOR-rich circuits
机译:
双条件BDD:针对富XOR电路的逻辑综合的新型规范BDD
作者:
Amaru Luca
;
Gaillardon Pierre-Emmanuel
;
De Micheli Giovanni
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
69.
An integrated approach for managing the lifetime of flash-based SSDs
机译:
一种用于管理基于闪存的SSD寿命的集成方法
作者:
Lee Sungjin
;
Kim Taejin
;
Park Ji-Sung
;
Kim Jihong
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
70.
Addressing the healthcare cost dilemma by managing health instead of managing illness - An opportunity for wearable wireless sensors
机译:
通过管理健康而不是疾病来解决医疗保健成本的困境-可穿戴无线传感器的机会
作者:
Van Hoof Chris
;
Penders Julien
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
body-area networks;
healthcare;
wireless sensors;
71.
Share with care: A quantitative evaluation of sharing approaches in high-level synthesis
机译:
谨慎共享:高级综合中共享方法的定量评估
作者:
Kondratyev Alex
;
Lavagno Luciano
;
Meyer Mike
;
Watanabe Yosinori
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
72.
FPGA latency optimization using system-level transformations and DFG restructuring
机译:
使用系统级转换和DFG重组的FPGA延迟优化
作者:
Gomez-Prado Daniel
;
Ciesielski Maciej
;
Tessier Russell
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
73.
A transparent and energy aware reconfigurable multiprocessor platform for simultaneous ILP and TLP exploitation
机译:
透明且节能的可重配置多处理器平台,可同时利用ILP和TLP
作者:
Rutzig Mateus Beck
;
Beck Antonio Carlos S.
;
Carro Luigi
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
embedded systems;
multiprocessor;
reconfigurable system;
74.
Scheduling independent liveness analysis for register binding in high level synthesis
机译:
安排独立的活动度分析以进行高级合成中的寄存器绑定
作者:
Castellana Vito Giovanni
;
Ferrandi Fabrizio
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
75.
Fast shared on-chip memory architecture for efficient hybrid computing with CGRAs
机译:
快速共享的片上存储器架构,可与CGRA进行高效的混合计算
作者:
Lee Jongeun
;
Jeong Yeonghun
;
Seo Sungsok
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
76.
Compiling control-intensive loops for CGRAs with state-based full predication
机译:
使用基于状态的全预测为CGRA编译控制密集型循环
作者:
Han Kyuseung
;
Choi Kiyoung
;
Lee Jongeun
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
CGRA;
compilation;
conditional;
predicated execution;
predication;
reconfigurable architecture;
77.
Fault-tolerant routing algorithm for 3D NoC using hamiltonian path strategy
机译:
使用哈密顿路径策略的3D NoC容错路由算法
作者:
Ebrahimi Masoumeh
;
Daneshtalab Masoud
;
Plosila Juha
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
78.
Modeling and analysis of fault-tolerant distributed memories for Networks-on-Chip
机译:
片上网络容错分布式存储器的建模与分析
作者:
BanaiyanMofrad Abbas
;
Dutt Nikil
;
Girao Gustavo
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
79.
System-level modeling and microprocessor reliability analysis for backend wearout mechanisms
机译:
后端磨损机制的系统级建模和微处理器可靠性分析
作者:
Chen Chang-Chih
;
Milor Linda
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Aging;
EM;
Microprocessor;
Reliability;
SIV;
SM;
TDDB;
Wearout Mechanisms;
80.
Hybrid Prototyping of multicore embedded systems
机译:
多核嵌入式系统的混合原型
作者:
Saboori Ehsan
;
Abdi Samar
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Embedded systems;
FPGA prototyping;
Multicore design;
Validation;
Virtual prototyping;
81.
3D reconfigurable power switch network for demand-supply matching between multi-output power converters and many-core microprocessors
机译:
3D可重配置电源开关网络,用于多输出电源转换器和多核微处理器之间的供需匹配
作者:
Wang Kanwen
;
Yu Hao
;
Wang Benfei
;
Zhang Chun
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
82.
Thermal-aware datapath merging for coarse-grained reconfigurable processors
机译:
用于粗粒度可重配置处理器的热感知数据路径合并
作者:
Xydis Sotirios
;
Palermo Gianluca
;
Silvano Cristina
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
83.
GPU-friendly floating random walk algorithm for capacitance extraction of VLSI interconnects
机译:
GPU友好的浮动随机游走算法,用于VLSI互连的电容提取
作者:
Zhai Kuangya
;
Yu Wenjian
;
Zhuang Hao
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
84.
Periodic jitter and bounded uncorrelated jitter decomposition using incoherent undersampling
机译:
使用非相干欠采样的周期性抖动和有界不相关抖动分解
作者:
Tzou Nicholas L.
;
Bhatta Debesh
;
Hsiao Sen-Wen
;
Chatterjee Abhijit
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
Bounded Uncorrelated Jitter;
Crosstalk Jitter;
Incoherent Undersampling;
Jitter Separation;
Periodic Jitter;
85.
Impact of adaptive voltage scaling on aging-aware signoff
机译:
自适应电压缩放对老化感知签收的影响
作者:
Chan Tuck-Boon
;
Chan Wei-Ting Jonas
;
Kahng Andrew B.
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
86.
An efficient wirelength model for analytical placement
机译:
用于分析放置的有效线长模型
作者:
Ray B.N.B.
;
Balachandran Shankar
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
87.
Advances in asynchronous logic: From principles to GALS NoC, recent industry applications, and commercial CAD tools
机译:
异步逻辑方面的进展:从原理到GALS和NoC,最近的行业应用和商业CAD工具
作者:
Yakovlev Alex
;
Vivet Pascal
;
Renaudin Marc
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
CAD flow;
GALS;
asynchronous design;
handshake circuits;
88.
Automotive Ethernet: In-vehicle networking and smart mobility
机译:
汽车以太网:车载网络和智能移动性
作者:
Hank Peter
;
Muller Steffen
;
Vermesan Ovidiu
;
Van Den Keybus Jeroen
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
EV communication architecture;
Ethernet;
automotive;
domain based commuication;
electric vehicle;
in-vehicle networking;
smart grid;
vehicle network topology;
89.
Semiconductor technologies for smart mobility management
机译:
用于智能移动管理的半导体技术
作者:
John Reiner
;
Schulz Martin
;
Vermesan Ovidiu
;
Kriegel Kai
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
关键词:
IGBT;
Internet of Energy;
MOS;
electric vehicle;
semiconductor technologies;
90.
Leveraging variable function resilience for selective software reliability on unreliable hardware
机译:
利用可变功能的弹性,在不可靠的硬件上实现选择性软件的可靠性
作者:
Rehman Semeen
;
Shafique Muhammad
;
Aceituno Pau Vilimelis
;
Kriebel Florian
;
Chen Jian-Jia
;
Henkel Jorg
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
91.
Shared memory aware MPSoC software deployment
机译:
共享内存感知MPSoC软件部署
作者:
Schonwald Timo
;
Viehl Alexander
;
Bringmann Oliver
;
Rosenstiel Wolfgang
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
92.
Fast and optimized task allocation method for low vertical link density 3-Dimensional Networks-on-Chip based many core systems
机译:
基于多个核心系统的低垂直链路密度3维片上网络的快速优化任务分配方法
作者:
Ying Haoyuan
;
Hollstein Thomas
;
Hofmann Klaus
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
93.
A SPICE-compatible model of Graphene Nano-Ribbon Field-Effect Transistors enabling circuit-level delay and power analysis under process variation
机译:
石墨烯纳米带场效应晶体管的SPICE兼容模型,可在工艺变化下进行电路级延迟和功率分析
作者:
Chen Ying-Yu
;
Rogachev Artem
;
Sangai Amit
;
Iannaccone Giuseppe
;
Fiori Gianluca
;
Chen Deming
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
94.
Systematic design of Nanomagnet Logic circuits
机译:
纳米磁逻辑电路的系统设计
作者:
Palit Indranil
;
Hu X.Sharon
;
Nahas Joseph
;
Niemier Michael
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
95.
DWM-TAPESTRI - An energy efficient all-spin cache using domain wall shift based writes
机译:
DWM-TAPESTRI-使用基于域墙移位的写入的节能全旋转缓存
作者:
Venkatesan Rangharajan
;
Sharad Mrigank
;
Roy Kaushik
;
Raghunathan Anand
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
96.
Energy-efficient in-memory database computing
机译:
高效的内存数据库计算
作者:
Lehner Wolfgang
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
97.
Machine learning-based anomaly detection for post-silicon bug diagnosis
机译:
基于机器学习的异常检测,用于硅后缺陷诊断
作者:
DeOrio Andrew
;
Li Qingkun
;
Burgess Matthew
;
Bertacco Valeria
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
98.
Space sensitive cache dumping for post-silicon validation
机译:
空间敏感的缓存转储,用于硅后验证
作者:
Chandran Sandeep
;
Sarangi Smruti R.
;
Panda Preeti Ranjan
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
99.
Parallel programming with SystemC for loosely timed models: A non-intrusive approach
机译:
与SystemC并行编程的非定时模型:一种非介入式方法
作者:
Moy Matthieu
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
100.
Accuracy vs speed tradeoffs in the estimation of fixed-point errors on Linear Time-Invariant systems
机译:
线性时不变系统中定点误差估计中的精度与速度权衡
作者:
Novo David
;
El Alaoui Sara
;
Ienne Paolo
会议名称:
《Design, Automation Test in Europe Conference Exhibition;DATE 2013》
|
2013年
意见反馈
回到顶部
回到首页