...
首页> 外文期刊>Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on >Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains
【24h】

Using Launch-on-Capture for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains

机译:使用捕获启动来测试包含同步和异步时钟域的扫描设计

获取原文
获取原文并翻译 | 示例
           

摘要

This paper presents a hybrid automatic test pattern generation (ATPG) technique using the staggered launch-on-capture (LOC) scheme followed by the one-hot LOC scheme for testing delay faults in a scan design containing asynchronous clock domains. Typically, the staggered scheme produces small test sets but needs long ATPG runtime, whereas the one-hot scheme takes short ATPG runtime but yields large test sets. The proposed hybrid technique is intended to reduce test pattern count with acceptable ATPG runtime for multi-million-gate scan designs. In case the scan design contains multiple synchronous clock domains, each group of synchronous clock domains is treated as a clock group and tested using a launch aligned or a capture aligned LOC scheme. By combining these schemes together, we found the pattern counts for two large industrial designs were reduced by approximately $1.7X$ to $2.1X$, while the ATPG runtime was increased by 10% to 50%, when compared to the one-hot clocking scheme alone.
机译:本文提出了一种混合自动测试码型生成(ATPG)技术,该技术使用交错捕获启动(LOC)方案和单热LOC方案,用于在包含异步时钟域的扫描设计中测试延迟故障。通常,交错方案产生的测试集较小,但需要较长的ATPG运行时间,而单发方案则需要较短的ATPG运行时,但产生较大的测试集。拟议的混合技术旨在通过数百万门扫描设计的可​​接受的ATPG运行时来减少测试模式数量。如果扫描设计包含多个同步时钟域,则将每组同步时钟域视为一个时钟组,并使用启动对齐或捕获对齐LOC方案进行测试。通过将这些方案组合在一起,我们发现,与一种单时钟方案相比,两个大型工业设计的模式计数减少了大约1.7X $至2.1X $,而ATPG运行时间增加了10%至5​​0%。单独。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号