首页> 外文期刊>IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems >A Latency-Optimized and Energy-Efficient Write Scheme in NVM-Based Main Memory
【24h】

A Latency-Optimized and Energy-Efficient Write Scheme in NVM-Based Main Memory

机译:基于NVM的主存储器中的延迟优化和节能写入方案

获取原文
获取原文并翻译 | 示例
       

摘要

Nonvolatile memory (NVM) technologies are promising candidates as the next-generation main memory due to high scalability and low energy consumption. However, the performance bottlenecks, such as high write latency and low cell endurance, still exist in NVMs. To address these problems, frequent pattern compression schemes have been widely used, which however suffer from the lack of flexibility and adaptability. In order to overcome these shortcomings, we propose a well-adaptive NVM write scheme, called dynamic frequent pattern compression (DFPC), to significantly reduce the amount of write units and extend the lifetime. Instead of only using static frequent patterns in existing FPC schemes, which are predefined and not always efficient for all applications, the idea behind DFPC is to exploit the characteristics of data distribution in execution to obtain dynamic patterns, which often appear in the real-world applications. To further improve the compression ratio, we exploit the value locality in a cache line to extend the granularity of dynamic patterns. Hence, DFPC can encode the contents of cache lines with more kinds of frequent data patterns. Moreover, to further support efficient write and read operations in the context of multilevel/triple-level cell NVMs, we need to extend the DFPC to improve performance in terms of the access latency and energy consumption. We hence propose a latency-optimized and energy-efficient compression write scheme to encode the compressed data with low energy and latency states, i.e., enhanced DFPC, thus reducing the latency and energy consumption. We implement DFPC in GEM5 with NVMain and execute the applications from SPEC CPU2006 to evaluate our scheme. Experimental results demonstrate the efficacy and efficiency of DFPC. We have released the source codes for public use at Github https://github.com/dfpcscheme/DFPCScheme.
机译:由于高可扩展性和低能耗,非易失性存储器(NVM)技术是作为下一代主存储器的候选人。然而,性能瓶颈,例如高写期和低细胞耐久性,仍然存在于NVM中。为了解决这些问题,已经广泛使用了频繁的模式压缩方案,但是缺乏缺乏灵活性和适应性。为了克服这些缺点,我们提出了一种适应性良好的NVM写方案,称为动态频繁模式压缩(DFPC),从而显着减少写入单元的数量并延长寿命。而不是在现有的FPC方案中使用静态频繁模式,这是预定义的,而不是总是高效的所有应用程序,而不是DFPC背后的想法是利用执行中的数据分布的特征来获得动态模式,该动态模式通常出现在真实世界中应用程序。为了进一步提高压缩比,我们利用高速缓存行中的值局部性来扩展动态模式的粒度。因此,DFPC可以用更多种频繁的数据模式对高速缓存行的内容进行编码。此外,为了进一步支持在多级/三级单元NVMS的上下文中的有效写入和读取操作,我们需要扩展DFPC以提高访问延迟和能量消耗的性能。因此,我们提出了一种延迟优化和节能的压缩写入方案,以用低能量和延迟状态对压缩数据进行编码,即增强的DFPC,从而降低了延迟和能量消耗。我们使用NVMAIN在GEM5中实现DFPC,并从规范CPU2006执行应用程序以评估我们的方案。实验结果表明了DFPC的功效和效率。我们发布了在Github https://github.com/dfpcscheme/dfpcscheme上公开使用的源代码。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号