首页> 外文期刊>IEEE computer architecture letters >Expert Prefetch Prediction: An Expert Predicting the Usefulness of Hardware Prefetchers
【24h】

Expert Prefetch Prediction: An Expert Predicting the Usefulness of Hardware Prefetchers

机译:专家预取预测:专家预测硬件预取器的用途

获取原文
获取原文并翻译 | 示例
       

摘要

Hardware prefetching improves system performance by hiding and tolerating the latencies of lower levels of cache and off-chip DRAM. An accurate prefetcher improves system performance whereas an inaccurate prefetcher can cause cache pollution and consume additional bandwidth. Prefetch address filtering techniques improve prefetch accuracy by predicting the usefulness of a prefetch address and based on the outcome of the prediction, the prefetcher decides whether or not to issue a prefetch request. Existing techniques use only one signature to predict the usefulness of a prefetcher but no single predictor works well across all the applications. In this work, we propose weighted-majority filter, an expert way of predicting the usefulness of prefetch addresses. The proposed filter is adaptive in nature and uses the prediction of the best predictor(s) from a pool of predictors. Our filter is orthogonal to the underlying prefetching algorithm. We evaluate the effectiveness of our technique on 22 SPEC-2000/2006 applications. On an average, when employed with three state-of-the-art prefetchers such as AMPM, SMS, and GHB-PC/DC, our filter provides performance improvement of 8.1, 9.3, and 11 percent respectively.
机译:硬件预取通过隐藏和容忍较低级别的缓存和片外DRAM的延迟来提高系统性能。正确的预取器可提高系统性能,而不正确的预取器会导致缓存污染并占用更多带宽。预取地址过滤技术通过预测预取地址的有用性来提高预取精度,并且基于预测结果,预取器决定是否发出预取请求。现有技术仅使用一个签名来预测预取器的有效性,但是没有一个单独的预测器在所有应用程序中都能很好地工作。在这项工作中,我们提出了加权多数过滤器,这是一种预测预取地址有用性的专家方法。所提出的滤波器本质上是自适应的,并且使用来自预测器池的最佳预测器的预测。我们的过滤器与基础预取算法正交。我们评估了22种SPEC-2000 / 2006应用中我们技术的有效性。平均而言,与3个最先进的预取器(例如AMPM,SMS和GHB-PC / DC)一起使用时,我们的滤波器的性能分别提高了8.1%,9.3%和11%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号