首页> 外文期刊>Computing reviews >BPM/BPM+: software-based dynamic memory partitioning mechanisms for mitigating DRAM bank-/channel-level interferences in multicore systems
【24h】

BPM/BPM+: software-based dynamic memory partitioning mechanisms for mitigating DRAM bank-/channel-level interferences in multicore systems

机译:BPM / BPM +:基于软件的动态内存分区机制,用于缓解多核系统中的DRAM库/通道级干扰

获取原文
获取原文并翻译 | 示例
       

摘要

Dynamic random access memory (DRAM) interference in shared memory systems can, as demonstrated in this paper, lead to degradation of performance. The paper proposes a software-based solution to provide isolation between applications by allocating different banks (bank-level partitioning mechanism (BPM)) and channels (BPM+) to different applications, thereby improving performance. The paper also proposes dynamically allocating the banks to applications based on their memory requirements with the objective of attaining uniform channel utilization.
机译:如本文所述,共享存储系统中的动态随机存取存储器(DRAM)干扰可能导致性能下降。本文提出了一种基于软件的解决方案,通过为不同的应用程序分配不同的库(库级别的分区机制(BPM)和通道(BPM +))来提供应用程序之间的隔离,从而提高性能。本文还提出了根据应用程序的内存需求为应用程序动态分配存储库的目的,以实现统一的通道利用率。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号