首页> 中文期刊> 《山西科技》 >SATA控制器的FPGA实现

SATA控制器的FPGA实现

         

摘要

基于Xilinx公司的Virtex-5系列FPGA,以SATA 2.5协议为参考,运用VHDL硬件描述语言,采用模块化的理念,设计了一款高性能的SATA控制器IP核和文件系统相应的驱动程序,搭建了验证平台,对IP核的性能进行了测试,经测试该控制器IP核能正确完成所有操作,且稳定性良好。%Taking SATA 2.5 protocol for reference and based on FPGA of Virtex-5 family developed by Xilinx Company, this paper designs a high-performance SATA controller IP core and the file system driver with modular design concept in VHDL language, and sets up a verification platform for testing the performance of the IP core, the test result of which indicates that the IP core of the controller can complete all the operation correctly and has good stability.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号