首页> 中文期刊> 《雷达与对抗》 >基于FPGA的SATAⅢ控制器的实现

基于FPGA的SATAⅢ控制器的实现

         

摘要

根据SATAⅡ协议与SATAⅢ协议的不同,分别从物理层、链路层和传输层详细讨论了基于FPGA的SATAⅢ协议的实现,成功实现了控制器与支持SATAⅢ协议的SSD硬盘之间的通信。%The implementation of the FPGA-based SATAⅢ protocol is discussed in detail respectively from physical, link and transport layers according to the differences between the SATAⅡ and SATA Ⅲ protocols. The communication between the SSD hard disk supporting the SATAⅢ protocol and the controller is implemented successfully.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号