首页> 中文期刊> 《科学技术与工程》 >利用FPGA内部DLL实现数字时钟恢复

利用FPGA内部DLL实现数字时钟恢复

         

摘要

首先分析了数字时钟恢复电路的原理,然后介绍一种利用XILINX FPGA内部数字延时锁定回路DLL的倍频功能,从接收的异步数据中恢复数据时钟的方法.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号