首页> 中文期刊> 《计算机工程与应用》 >数字电视标准DMB-T高速LDPC译码器VLSI设计

数字电视标准DMB-T高速LDPC译码器VLSI设计

         

摘要

在我国的数字电视广播地面传榆标准DMB-T中,使用了准循环非规则LDPC码作为前向纠错编码.针对此标准中LDPc码的特点,采用修正最小和译码算法,设计了一种半并行结构实时译码器,可实现DMB-T中三种不同码率下的LDPC译码,并有效地实现了硬件结构复用.与其他设计方案相比较,减少了RAM块的数量一半以上,全局布线难度也大大降低.整个设计在Stmtix Ⅱ FPGA上进行了综合验证.当译码迭代次数为20次时,系统吞吐量可达100 Mb/s以上.

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号