首页> 外文学位 >Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography
【24h】

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

机译:用于EUV光刻的光刻胶中的线边缘粗糙度和二次电子相互作用的研究

获取原文
获取原文并翻译 | 示例

摘要

EUV lithography (EUVL) is a candidate technology for patterning of ever shrinking feature sizes in integrated circuits. There are several challenges to high volume manufacturing of devices using EUVL in a cost-effective manner, which include limited source power, mask defects and non-idealities in the photoresist, the imaging medium. Focus of this thesis is on photoresists. Specifically, influence of absorption shot noise on the final LER was studied experimentally through comparative analysis of LER obtained with EUV (92 eV photons) and 100 keV e-beam lithography. The key contribution here is that the lithography experiments were performed with matched imaging conditions between EUV and e-beam, which allowed for a fair comparison between the LER values measured using the two patterning technologies. In scenarios where the e-beam spatial resolution was better than that for EUV, the technique of gray-scale e-beam lithography was experimentally demonstrated to result in closely matched image gradients between e-beam and EUV patterning. It was shown that the measurable parameter known as the exposure latitude is a good parameter to test whether the aerial images between two experiments have identical gradients for idential materials and processing conditions.;With matched imaging conditions, resist materials and processing conditions, lithographic data showed that the incident flux needed to pattern 50 nm half-pitch lines and spaces for a leading chemically amplified resist was 10.7 photons/nm 2 for 92 eV photons, and 4.44 electrons/nm2 for 100 keV electrons. Measurements of absorption of 100 keV electrons estimated through an EELS measurement with 120 keV beam showed that despite having access to core levels in the material (e.g., 284 eV edge in carbon), these electrons mostly just excite the energy levels less than 100 eV in the resist, with a mean deposited energy of 35 eV. Results showed that the probability of an energy loss event in a 45 nm thick resist film with 100 keV electrons was 0.4, about 2.35x larger than that for EUV (0.17). By combining the incident flux and the absorption probabilities, the absorption flux was found to be similar between the two patterning technologies. A possible reason is that either the secondary electron spectra created in the material through ionization events are similar for EUV and 100 keV e-beam exposures, or that there are only small differences which ultimately do not matter from the standpoint of acid generation statistics. With matched imaging conditions and matched absorption density, the mean LER for e-beam was found to be larger by about 1 nm.;Influence of various material contributors in determining the resist LER was also studied from a modeling standpoint. Reaction/diffusion parameters in a stochastic resist model were calibrated to resist contrast curve data and line/space patterns. With the best fit reaction and diffusion parameters, the contributions of absorption shot noise, acid generation statistics and the base counting statistics on the resist LER were determined. Shot noise was found to account for 46% of the total LER, while the acid generation and base loading statistics were found to account for 22% and 32% of the LER respectively.;Interactions of low energy electrons in EUV resists were studied from both experimental and modeling standpoint. Low energy (< 92 eV) electrons are primarily responsible for initiating chemistry that leads to image formation in EUV resists. Thus key to controlling EUV exposure efficiency is understanding low energy electron radiation chemistry efficiency as a function of electron energy. Thickness versus exposure dose measurements were made with incident electron energies ranging between 29 eV and 91 eV. Thickness removed was much larger than the average secondary electron range and was bake temperature dependent and thus is a useful indicator of de-protection blur introduced by the bake process. The dissolution volume per eV deposited energy was nearly similar for 29 eV to 91 eV energies, although there is some indication that incident electrons with lower energies are slightly more effective at causing chemistry. The volume removed per eV was about 0.1 nm3 per 1 nm2 area.;The well-known dielectric model for inelastic scattering was used to develop a stochastic model for simulating trajectories traversed by secondary electrons in the resist. Electron energy loss spectroscopy (EELS) was used to measure the dielectric function for a leading chemically amplified resist. Analytical expressions for the Mermin dielectric functions which account for energy and momentum transfer were then fit to the measurement to build a complete dielectric model for the resist. Stochastic simulations were then performed with the scattering parameters determined by the dielectric model to calculate energy deposition and acid generation statistics. These results were used to quantify the net acid generation blur, which was found to be between 1.8 nm and 2 nm from the point of origin of the electrons. The radial distribution of acid generation sites was fit using a Rayleigh distribution and the best fit sigma parameters in the distributions were found to range between 1.2 nm at 30 eV and 1.41 nm at 91 eV. The net acid yield calculated by the simulator was found to be 1.6 for an 80 eV electron.
机译:EUV光刻(EUVL)是一种用于对集成电路中不断缩小的特征尺寸进行图案化的候选技术。使用EUVL进行具有成本效益的方式来大批量制造设备存在若干挑战,包括有限的源功率,掩模缺陷和光刻胶(成像介质)中的不理想性。本文的重点是光刻胶。具体而言,通过对使用EUV(92 eV光子)和100 keV电子束光刻获得的LER进行对比分析,实验研究了吸收散粒噪声对最终LER的影响。这里的主要贡献在于,在EUV和电子束之间的匹配成像条件下进行了光刻实验,从而可以公平比较使用两种构图技术测得的LER值。在电子束空间分辨率优于EUV的情况下,实验证明了灰度电子束光刻技术可导致电子束和EUV图案之间的图像梯度紧密匹配。结果表明,可测参数曝光纬度是测试两个实验之间的航空图像是否具有相同的梯度的重要材料和加工条件的良好参数。在匹配的成像条件,抗蚀剂材料和加工条件的情况下,光刻数据显示对一个领先的化学放大抗蚀剂而言,图案化50 nm半间距线和间隔所需的入射通量对于92 eV光子是10.7光子/ nm 2,对于100 keV电子是4.44电子/ nm 2。通过使用120 keV束的EELS测量估算出的100 keV电子的吸收测量结果表明,尽管可以接近材料中的核能级(例如,碳中的284 eV边缘),但这些电子大部分只是激发了低于100 eV的能级。抗蚀剂,平均沉积能量为35 eV。结果表明,在具有100 keV电子的45 nm厚抗蚀剂膜中发生能量损失事件的可能性为0.4,比EUV(0.17)大2.35倍。通过结合入射通量和吸收概率,发现两种构图技术之间的吸收通量相似。可能的原因是,通过离子化事件在材料中产生的二次电子光谱对于EUV和100 keV电子束曝光是相似的,或者只有很小的差异,从酸生成统计的角度来看,这些差异最终无所谓。在匹配的成像条件和匹配的吸收密度下,电子束的平均LER被发现大了约1 nm。;还从建模的角度研究了各种材料贡献者对确定抗蚀剂LER的影响。校准了随机抗蚀剂模型中的反应/扩散参数以抵抗对比曲线数据和线/空间图案。利用最佳拟合反应和扩散参数,确定了吸收散粒噪声,酸产生统计量和抗蚀剂LER的碱计数统计量的贡献。发现散粒噪声占总LER的46%,而酸生成和碱负荷统计数据分别占LER的22%和32%.;研究了EUV抗蚀剂中低能电子的相互作用实验和建模观点。低能量(<92 eV)电子主要负责引发化学反应,从而导致EUV抗蚀剂中形成图像。因此,控制EUV曝光效率的关键是理解低能电子辐射化学效率与电子能量的关系。使用入射电子能量在29 eV和91 eV之间的范围进行厚度与曝光剂量的测量。去除的厚度远大于平均二次电子范围,并且取决于烘烤温度,因此是烘烤过程引入的脱保护模糊的有用指示。对于29 eV到91 eV的能量,每eV沉积能量的溶解体积几乎相似,尽管有迹象表明,较低能量的入射电子在引起化学反应方面稍微更有效。每eV去除的体积为每1 nm2面积约0.1 nm3。;众所周知的非弹性散射电介质模型用于建立随机模型,以模拟抗蚀剂中二次电子所穿越的轨迹。电子能量损失谱(EELS)用于测量领先的化学放大抗蚀剂的介电功能。然后将考虑到能量和动量传递的Mermin介电函数的分析表达式拟合到测量结果,以建立抗蚀剂的完整介电模型。然后用介电模型确定的散射参数进行随机模拟,以计算能量沉积和酸生成统计数据。这些结果用于量化净酸生成模糊,从电子的原点开始,其在1.8nm和2nm之间。使用瑞利分布拟合酸生成位点的径向分布,发现分布中的最佳拟合sigma参数范围为30 eV时的1.2 nm和91 eV时的1.41 nm。发现对于80 eV电子,由模拟器计算出的净酸产率为1.6。

著录项

  • 作者

    Bhattarai, Suchit.;

  • 作者单位

    University of California, Berkeley.;

  • 授予单位 University of California, Berkeley.;
  • 学科 Electrical engineering.
  • 学位 Ph.D.
  • 年度 2017
  • 页码 128 p.
  • 总页数 128
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

  • 入库时间 2022-08-17 11:38:54

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号