首页> 中文学位 >嵌入式SRAM编译器的容量扩展方法的研究
【6h】

嵌入式SRAM编译器的容量扩展方法的研究

代理获取

目录

声明

摘要

第1章 绪论

1.1 引言

1.2 国内外对SRAM编译器的研究

1.3 论文的研究内容及安排

第2章 SRAM和SRAM编译器

2.1 SRAM的原理和结构

2.2 SRAM编译器的原理和结构

2.3 SRAM编译器的设计流程

第3章 SRAM编译器设计的实现

3.1 架构建模

3.2 SRAM电路与版图子模块划分、拼接算法和验证

3.2.1 电路与版图的子模块划分

3.2.2 电路与版图的拼接算法

3.2.3 电路与版图的拼接验证

3.3 Datasheet、Lib时序库、Verilog、Lef文件的生成

3.4 编译器用户界面的设计

第4章 大容量SRAM的拼接方法

4.1 512Kb SRAM的拼接方法

4.1.1 输出端口的控制

4.2 容量大于512Kb SRAM的拼接方法的研究

4.2.1 两种拼接方法

第5章 总结与展望

参考文献

附图表

致谢

攻读学位期间发表的学术论文目录

展开▼

摘要

近年来,随着集成电路的高速发展,嵌入式SoC系统的设计成为一个热门的话题。不久的将来,嵌入式存储器在整个SoC系统面积中占据主导地位,并且这个比例会越来越大。静态随机存储器(SRAM)在存储器中因其高速度应用于诸如CPU缓存和高性能通信网络所需的高速器件等方面而闻名。SRAM技术的研究主要有高速度和低功耗两个方向,SRAM产品根据用户需求的不同侧重于不同的方向。
  SRAM的设计主要有两种方法,一种是全定制的设计方法,但是其设计周期长,通常需要数月甚至几年的时间。为了解决这个限制因素,采用另一种设计方法,即编译器技术来编译出用户所需尺寸的SRAM。编译器技术是一种半定制设计的方法,将SRAM按照功能划分为不同的小模块,这些小模块是相对固定的,全定制设计这些小模块,并对其建库,形成基本单元库,编译器按照相应的规律对基本单元库进行调用拼接实现整个SRAM电路。采用编译器技术有许多优点:(1)大大地缩短了设计周期,使得设计者可以集中精力于系统化的设计;(2)节省了设计资源,提高了设计资源的可重用性;(3)通过改变设计交接的层次提高了设计效率。因此编译器技术是SRAM设计中的主流方法。
  本文的研究是基于一款已有的编译器进行的,其参数指标深度为16-8192字、宽度为2-32位可配置,能够实现的SRAM的最大容量为256Kb。本文的主要目的是对此编译器的容量进行扩展,将编译器的参数指标扩展为深度1K-512K字,宽度8-32位可配置,也就是说新的编译器能够生成的SRAM的最大容量为2MB。对编译器的容量进行扩展的主要思路是以原来的编译器能够生成的小容量的SRAM为基本拼接单元,利用分块原理和铺砌原理,按照一定的拼接结构来实现大容量的SRAM。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号