首页> 外文会议>IEEE Asian Solid-State Circuits Conference >A 4.5 to 13 times energy-efficient embedded microprocessor with mainly-static/partially-dynamic reconfigurable array accelerator
【24h】

A 4.5 to 13 times energy-efficient embedded microprocessor with mainly-static/partially-dynamic reconfigurable array accelerator

机译:节能嵌入式微处理器的4.5至13倍,具有主要静态/部分动态可重新配置阵列加速器

获取原文
获取外文期刊封面目录资料

摘要

Conventional processors are energy in-efficient in that they fail to utilize the fact that most of their time and energy are spent on heavily-recursively executed small code segments. A DYNaSTA accelerator, proposed and implemented, is an architectural solution to such a problem. It is an reconfigurable array accelerator featuring an hybrid architecture: only a limited portion is reconfigured dynamically (i.e., frequently) while the rest is reconfigured statically (i.e., only occasionally). This way, the DYNaSTA accelerator tries to achieve both flexibility and energy-efficiency at the same time. Results of power simulation and fabricated chip measurements have been quite encouraging: 4.5 to 13 times energy efficiency will be made possible by this accelerator when compared with a conventional embedded microprocessor.
机译:传统的处理器是能源有效的,因为它们未能利用其大多数时间和能量在重递归执行的小型代码段上度过的事实。一个Dynasta加速器,提出和实施,是这种问题的建筑解决方案。它是一种可重构的阵列加速器,具有混合架构:仅在静态地重新配置的同时,仅动态重新配置有限的部分(即,频繁)(即,仅偶尔)。这样,Dynasta加速器试图同时实现灵活性和能效。功率仿真结果和制造的芯片测量非常令人鼓舞:与传统的嵌入式微处理器相比,该加速器可以通过该加速器实现4.5至13倍。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号